![](https://csdnimg.cn/release/download_crawler_static/86636616/bg1.jpg)
各模块综合起来的程序:
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY work;
ENTITY shuru IS
port
(
clk : IN STD_LOGIC;
col : IN STD_LOGIC_VECTOR(3 downto 0);
error : OUT STD_LOGIC;
correct : OUT STD_LOGIC;
led2 : OUT STD_LOGIC;
dig : OUT STD_LOGIC_VECTOR(3 downto 0);
KEYVALUE : OUT STD_LOGIC_VECTOR(3 downto 0);
row : OUT STD_LOGIC_VECTOR(3 downto 0);
seg : OUT STD_LOGIC_VECTOR(7 downto 0)
);
END shuru;
ARCHITECTURE bdf_type OF shuru IS
component keyscan
PORT(clk : IN STD_LOGIC;
col : IN STD_LOGIC_VECTOR(3 downto 0);
keyyon : OUT STD_LOGIC;
keyout : OUT STD_LOGIC_VECTOR(3 downto 0);
row : OUT STD_LOGIC_VECTOR(3 downto 0)
);
end component;
component time10
PORT(clk : IN STD_LOGIC;
input1 : IN STD_LOGIC;
action : IN STD_LOGIC;
key : IN STD_LOGIC_VECTOR(3 downto 0);
over : OUT STD_LOGIC
);
end component;
component yima
PORT(key_code : IN STD_LOGIC_VECTOR(3 downto 0);
flag : OUT STD_LOGIC;
flag1 : OUT STD_LOGIC;
flag2 : OUT STD_LOGIC;
dis_code : OUT STD_LOGIC_VECTOR(7 downto 0)
);
end component;
component sipo