【verilog】快速乘法器。两个16位有符号数,输出32位相乘结果,采用Booth编码和Wallace树型结构

preview
共8个文件
v:7个
txt:1个
需积分: 45 57 下载量 126 浏览量 2022-06-20 16:45:31 上传 评论 12 收藏 6KB ZIP 举报
abstractenv
  • 粉丝: 9
  • 资源: 7
上传资源 快速赚钱
voice
center-task 前往需求广场,查看用户热搜