没有合适的资源?快使用搜索试试~
我知道了~
文库首页
开发技术
其它
LEDR电台在海上油田的应用.pdf
LEDR电台在海上油田的应用.pdf
技术案例
需积分: 0
0 下载量
19 浏览量
2019-10-24
18:43:36
上传
评论
收藏
99KB
PDF
举报
温馨提示
立即下载
LEDR电台在海上油田的应用pdf,LEDR电台在海上油田的应用
资源推荐
资源评论
MDS LEDR电台在油田的应用.pdf
浏览:7
MDS LEDR电台在油田的应用pdf,MDS LEDR电台在油田的应用
智能视频监控系统在海上油田的应用.pdf
浏览:181
智能视频监控系统在海上油田的应用.pdf
自动化控制技术在海上油田安全管理中的应用研究.pdf
浏览:194
自动化控制技术在海上油田安全管理中的应用研究.pdf
自动化控制技术在海上油田安全管理中的实践.pdf
浏览:191
自动化控制技术在海上油田安全管理中的实践.pdf
自动化控制技术在海上油田安全管理中的应用研究 (2).pdf
浏览:62
自动化控制技术在海上油田安全管理中的应用研究 (2).pdf
MDS LEDR系列数字电台 技术规格.pdf
浏览:34
MDS LEDR系列数字电台 技术规格pdf,MDS LEDR系列数字电台 技术规格
ledr-redmat-poc
浏览:43
ledr-redmat-poc 为了运行这些应用程序,您需要执行以下步骤: 注意这些说明假定您位于ledr-redmat-poc 在本地登录git 下载并安装Docker 克隆Oracle Docker存储库: git clone ...请按“ cmd +”,然后取消选中“下载后...
STM32F103RBT6+1.12寸OLED+MY1680-16S语音遥控器pdf原理图pcb+单片机软件源码.zip
浏览:184
5星 · 资源好评率100%
STM32F103RBT6+1.12寸OLED+MY1680-16S语音遥控器pdf原理图pcb+单片机软件源码 int main(void) { delay_init(); //延时函数初始化 ADC1_Init(); //ADC初始化 LED_GPIO_Config() ; USB_DET_Init()...
SDRAM硬件控制FPGA读写verilog设计实验Quartus9.1工程源码.zip
浏览:45
output [17:0] LEDR, output [6:0] HEX0, HEX1, //SDRAM side output [11:0] DRAM_ADDR, inout [15:0] DRAM_DQ, output DRAM_BA_0, DRAM_BA_1, DRAM_RAS_N, DRAM_CAS_N, DRAM_CKE, DRAM_CLK, DRAM_WE_
油田电力系统技术改造与应用.pdf
浏览:128
油田电力系统技术改造与应用.pdf
海上L油田地质油藏研究自动化与智能化应用.pdf
浏览:124
海上L油田地质油藏研究自动化与智能化应用.pdf
物联网在数字油田的应用.pdf
浏览:74
物联网在数字油田的应用.pdf
4G通信技术在海上油田的研究与应用.pdf
浏览:96
4G通信技术在海上油田的研究与应用.pdf
树莓派 第35讲:智能温度测量系统(综合实验)
浏览:77
LedR = 11 LedG = 12 LedB = 13 Buzz = 15 #ds18b20 = '28-031467805fff' #location = '/sys/bus/w1/devices/' + ds18b20 + '/w1_slave' joystick = importlib.import_module('15_joystick_PS2') ds18b20 = ...
Quartus II设计电路实现数字频率计和交通信号灯自动控制器
浏览:14
(3)用 LEDR0-LEDR9 的不同点亮组合表示道路四种通行状态; (4) 黄灯亮时,发出声响,进行报警提示。用 500Hz 的音频信号来驱动耳机,并采用间歇方式发出报警音,即以 1 秒为周期,前 0.5 秒发音、后 0.5 秒...
Scrolling_Display_DE1-SoC:在De1-SoC上以数字设计概念实现的滚动显示
浏览:34
简单数据路径和控制器:滚动显示“ CPEN 311”...但是,环路上的计数LEDR输出高电平有效。 为了我们的目的,我们将在( led_handler.sv )文件中的LED处理程序中对此编码为10位一条热总线。 7段显示代码C 7'b1000110 P
三档手持风扇芯片,外置元器件少
浏览:122
PC9013 是一款应用于移动小风扇,集成了锂电池充电管理,三种档位输出,并有状态 LED 指示的集成电 源管理 IC。 PC9013 是以线性方式进行充电,集成了包括涓流充电,恒流充电和恒压充电全过程的充电方式,浮充电压 ...
de0-cv_pins:生成DE0-CV引脚分配的脚本
浏览:123
使用范例输入文件( input.ini ): [mapping]node = LEDR0switch = PIN_AA12display[0..6] = HEX3[0..6][options]output = pins.qsf 作为python pin_gen.py input.ini运行。 输出文件( pins.qsf ): set_location...
PLC控制系统在埕岛海上油田的应用.pdf
浏览:194
#资源达人分享计划#
储层饱和度动态监测在海上油田的应用 (2012年)
浏览:75
海上大部分油田已进入开发的中后期,以油井细分层系、卡层堵水、补孔等措施为主的剩余油挖潜技术是油田稳产的重要手段,而确定剩余油和水淹层的分布是挖潜的先决条件,也是生产测井储层动态评价的一项重要内容。重点阐述了目前饱和度动态监测的测井仪器在海上的应用情况,经过渤海、南海西部、东海共132口井的饱和度测井实践证明:储层饱和度测井不仅能应用于老井,过油管确定含油、含气饱和度,油水、气水界面的变化情况,而且
埕岛西海上油田开发配套技术.pdf
浏览:41
埕岛西海上油田开发配套技术.pdf
云计算技术在油田生产中的应用.pdf
浏览:66
云计算技术在油田生产中的应用.pdf
海上油田群工业气瓶智能管理系统设计.pdf
浏览:139
海上油田群工业气瓶智能管理系统设计.pdf
cyclone引脚定义
浏览:8
4星 · 用户满意度95%
这个压缩包文件内有cyclone2系列芯片的引脚定义,希望对各位学习这款FPGA有所帮助
hello 自动循环 EDA
浏览:65
EDA工程 hello FPGA library ieee; use ieee.std_logic_1164.all; entity ex7_part2_top is port (sw :in std_logic_vector(17 downto 0);... ledr:out std_logic_vector(17 downto 0); clock_50:in std_logic); end;
STM32F103+433无线模块+1.12寸OLED+MY1680无线语音遥控器AD设计硬件原理图+pcb+软件源码.zip
浏览:76
5星 · 资源好评率100%
STM32F103RBT6+433无线模块+1.12寸OLED+MY1680-16S语音遥控器AD设计硬件... LEDR(1); LEDG(1); LEDB(1); LEDY(1); LEDW(1); #ifdef OLED_HARDWARE Lcd_SPI1_DMA_Configuration(); #endif #ifdef OLE
Spartan6 战神3 FPGA开发板底板ALTIUM设计硬件原理图+PCB+AD集成封装库.zip
浏览:92
5星 · 资源好评率100%
LEDR LM1117XX LM1117XX PNP PS2-6 Res 1K Res1 Resistor SD SW-SPST Single-Pole, Single-Throw Switch SW123 VGA-15 dpy_4 PCB封装库如下: Component Count : 20 Component Name ----------------------------...
( 2-闪烁1个LED.rar ) c 51
浏览:199
( 2-闪烁1个LED.rar ) c 51
评论
收藏
内容反馈
立即下载
资源评论
资源反馈
评论星级较低,若资源使用遇到问题可联系上传者,3个工作日内问题未解决可申请退款~
联系上传者
评论
weixin_38744270
粉丝: 327
资源:
2万+
私信
上传资源 快速赚钱
我的内容管理
展开
我的资源
快来上传第一个资源
我的收益
登录查看自己的收益
我的积分
登录查看自己的积分
我的C币
登录后查看C币余额
我的收藏
我的下载
下载帮助
前往需求广场,查看用户热搜
最新资源
dome-pytorch.zip 在jetson orin 上 练习使用pytorch代码
genus basic lab
C#字符串转成整型&条件判断
ingress-nginx 后端测试的 tomacat
项目管理试验2啊啊啊啊啊啊啊啊啊啊啊
小车连接11111111111
SDI-12 for Arduino 用于SDI-12与各种环境传感器通信的Arduino库,SDI-12通讯协议解析器
C++ MFC 等 技术,用于文件搜索
通讯工具1111111
Vmware ESXi 7自定义制作
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功