没有合适的资源?快使用搜索试试~ 我知道了~
用SDRAM做显示缓存HY57V161610,CPLD控制,点亮3.5寸液晶,颜色65K色,并口8位操作8080时序,操作简单
资源推荐
资源详情
资源评论
library ieee;
--use ieee.std_logic.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
Library lpm;
use lpm.lpm_components.all;
Library Altera;
use Altera.Maxplus2.all;
entity nh035dn06 is
port(
clock : in std_logic;
---------------------------------------------------------------------
cp : inout std_logic;
de : inout std_logic;
hs : buffer std_logic;
vs : buffer std_logic;
disp_da : inout std_logic_vector(7 downto 0);
----------------------------------------------------------------------
-- ram_cs : out std_logic;
ram_wr : inout std_logic;
ram_addr : buffer std_logic_vector(17 downto 0);
ram_udqm : buffer std_logic;
ram_ldqm : buffer std_logic;
ram_data : inout std_logic_vector(7 downto 0);
----------------------------------------------------------------------
data : inout std_logic_vector(7 downto 0);
data_addr : in std_logic_vector(18 downto 0);
data_wr : in std_logic;
data_rd : in std_logic;
--use ieee.std_logic.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
Library lpm;
use lpm.lpm_components.all;
Library Altera;
use Altera.Maxplus2.all;
entity nh035dn06 is
port(
clock : in std_logic;
---------------------------------------------------------------------
cp : inout std_logic;
de : inout std_logic;
hs : buffer std_logic;
vs : buffer std_logic;
disp_da : inout std_logic_vector(7 downto 0);
----------------------------------------------------------------------
-- ram_cs : out std_logic;
ram_wr : inout std_logic;
ram_addr : buffer std_logic_vector(17 downto 0);
ram_udqm : buffer std_logic;
ram_ldqm : buffer std_logic;
ram_data : inout std_logic_vector(7 downto 0);
----------------------------------------------------------------------
data : inout std_logic_vector(7 downto 0);
data_addr : in std_logic_vector(18 downto 0);
data_wr : in std_logic;
data_rd : in std_logic;
-- data_cs : in std_logic;
-- pin_busy : out std_logic;
lamp1 : out std_logic
);
end nh035dn06;
architecture en of nh035dn06 is
COMPONENT TFF
PORT ( t : IN STD_LOGIC;
clk : IN STD_LOGIC;
clrn: IN STD_LOGIC;
prn : IN STD_LOGIC;
q : OUT STD_LOGIC
);
END COMPONENT;
COMPONENT DFF
PORT ( d : IN STD_LOGIC;
clk : IN STD_LOGIC;
clrn: IN STD_LOGIC;
prn : IN STD_LOGIC;
q : OUT STD_LOGIC
);
END COMPONENT;
signal clock_times : std_logic_vector(1 downto 0);
signal clr_hs : std_logic;
signal data_addr1 : std_logic_vector(18 downto 0);
signal disp_addr : std_logic_vector(16 downto 0);
-- pin_busy : out std_logic;
lamp1 : out std_logic
);
end nh035dn06;
architecture en of nh035dn06 is
COMPONENT TFF
PORT ( t : IN STD_LOGIC;
clk : IN STD_LOGIC;
clrn: IN STD_LOGIC;
prn : IN STD_LOGIC;
q : OUT STD_LOGIC
);
END COMPONENT;
COMPONENT DFF
PORT ( d : IN STD_LOGIC;
clk : IN STD_LOGIC;
clrn: IN STD_LOGIC;
prn : IN STD_LOGIC;
q : OUT STD_LOGIC
);
END COMPONENT;
signal clock_times : std_logic_vector(1 downto 0);
signal clr_hs : std_logic;
signal data_addr1 : std_logic_vector(18 downto 0);
signal disp_addr : std_logic_vector(16 downto 0);
剩余9页未读,继续阅读
资源评论
wangqingnet1
- 粉丝: 0
- 资源: 2
上传资源 快速赚钱
- 我的内容管理 展开
- 我的资源 快来上传第一个资源
- 我的收益 登录查看自己的收益
- 我的积分 登录查看自己的积分
- 我的C币 登录后查看C币余额
- 我的收藏
- 我的下载
- 下载帮助
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功