没有合适的资源?快使用搜索试试~
我知道了~
文库首页
课程资源
专业指导
基于FPGA和AD9226的高速交叉采样设计.pdf
基于FPGA和AD9226的高速交叉采样设计.pdf
1.该资源内容由用户上传,如若侵权请联系客服进行举报
2.虚拟产品一经售出概不退款(资源遇到问题,请及时私信上传者)
版权申诉
FPGA
硬件技术
硬件开发
参考文献
专业指导
5星
· 超过95%的资源
3 下载量
169 浏览量
2021-07-13
10:32:01
上传
评论
3
收藏
10.33MB
PDF
举报
温馨提示
五一特惠:¥14.90
34.90
基于FPGA和AD9226的高速交叉采样设计.pdf
资源推荐
资源评论
AD9226_AD9226_AD9266的veillog程序_
浏览:193
5星 · 资源好评率100%
AD9226高速AD的程序源码,能够控制AD9226进行电压采集,程序上机实验可行,推荐下载
基于fpga的ad采样
浏览:72
基于fpga与ad之间的高速采样, LCD_EN : out std_logic; --液晶时钟信号 ad_in:in std_logic_vector(7 downto 0); LCD_Data : out std_logic_vector(7 downto 0)); --液晶数据信号 end LCD1602; architecture Behavioral of LC
高速ADC交叉采样控制器的FPGA实现
浏览:53
5星 · 资源好评率100%
设计了两通道和四通道的高速ADC交叉采样控制器,可以把采样速率提高到2倍和4倍,并采用FPGA来实现对其的控制
通过FPGA驱动ad9226采集信号实现FFT变换
浏览:122
5星 · 资源好评率100%
通过FPGA驱动采样电路AD9226采样信号,通过pingpong缓存数据,实现FFT变换,得到信号的频谱,通过内核通知TFT液晶屏显示。
XILINX FPGA双通道的12bit ADC ad9226输入测试程序VERILOG逻辑源码 ISE14.7工程
浏览:91
5星 · 资源好评率100%
XILINX SPARTAN6 FPGA 双通道的12bit ADC ad9226输入测试程序VERILOG逻辑例程源码 ISE14.7工程文件 module ad9226_test( input clk50m, input reset_n, input rx, //uart rx output t
AD9226 verilog程序
浏览:21
4星 · 用户满意度95%
基于FPGA的AD9226信息采集模块程序,采用硬件描述语言verilog编写
AD9226控制代码
浏览:76
5星 · 资源好评率100%
采用Verilog HDL语言编写基于AD9226的控制代码,具有强的使用性
AD9226(12ADC-65M)模块资料.zip_AD9226_ad9226模块_ad9226模块资料_ad9226转换关系
浏览:65
5星 · 资源好评率100%
AD9226的资料,包含有关的使用方法,可用在课设
AD9226技术手册.pdf
浏览:128
官方全英技术手册
基于FPGA的高速采样单元实现.pdf
浏览:77
基于FPGA的高速采样单元实现.pdf
基于FPGA的高速采样平台设计与实现.pdf
浏览:158
基于FPGA的高速采样平台设计与实现.pdf
基于FPGA的高速等效采样
浏览:56
3星 · 编辑精心推荐
基于FPGA的高速等效采样 通俗易懂 可以直接仿真,学习代码。
基于FPGA的高速采样电路设计与测试.pdf
浏览:109
基于FPGA的高速采样电路设计与测试.pdf
基于FPGA的高精度A_D采样实现.pdf
浏览:93
基于FPGA的高精度A_D采样实现.pdf
FPGA控制AD9226进行采样并用signaltap成功验证
浏览:177
4星 · 用户满意度95%
FPGA控制AD9226进行采样,采样频率可设置,并用signaltap成功验证其可行性!
FPGA AD9226模块代码
浏览:157
4星 · 用户满意度95%
FPGA AD9226 模块代码 小梅哥 黑金
双通道ad9226原理图
浏览:31
5星 · 资源好评率100%
关于ad9226双通道采样的PDF文档,能够清晰了解硬件结构
用ADC0832调节频率输出
浏览:96
用ADC0832调节频率输出的c语言程序编写
ALINX基于Vivado的MicroBlaze基础教程.pdf
浏览:52
4星 · 用户满意度95%
ALINX基于Vivado的MicroBlaze基础教程
ARM+FPGA的高速同步数据采集
浏览:50
设计了基于ARM+FPGA的低功耗、高速率、高精度、多通道同步数据采集方案,可以通过监测者的要求完成多通道数据的同步采集并实现实时的网络传输。
Vivado使用教程.pdf
浏览:18
5星 · 资源好评率100%
对vivado2018.2的基本使用流程做了一个详细说明.以一个流水灯入门工程为例子,说明了工程的建立,综合,实现,下板调试.
12位ADC的verilog代码
浏览:152
5星 · 资源好评率100%
该代码基于Verilog,采用SPI总线方式对AD7920通讯。
高速ADC交叉采样控制器的FPGA实现.pdf
浏览:80
高速ADC交叉采样控制器的FPGA实现.pdf
基于FPGA的高速AD采样设计
浏览:171
基于FPGA的高速AD采样设计
基于FPGA的AD采样设计.pdf
浏览:156
基于FPGA的AD采样设计.pdf
基于FPGA的高速A_D采样的实现.pdf
浏览:22
基于FPGA的高速A_D采样的实现.pdf
基于FPGA过采样技术及实现.pdf
浏览:109
基于FPGA过采样技术及实现.pdf
AD9850、AD9851串、并行驱动程序
浏览:172
AD9851串、并行驱动程序,均已调试通过!
ad9226 verilog代码
浏览:56
ad9226 verilog代码,双路ADC,可以通过signal tap显示波形,采样频率为100MHz,引脚可以自定义修改分配
评论
收藏
内容反馈
1.该资源内容由用户上传,如若侵权请联系客服进行举报
2.虚拟产品一经售出概不退款(资源遇到问题,请及时私信上传者)
版权申诉
五一特惠:¥14.90
34.90
资源评论
资源反馈
评论星级较低,若资源使用遇到问题可联系上传者,3个工作日内问题未解决可申请退款~
联系上传者
评论
smallshuo2021
2022-09-16
资源和描述一致,质量不错,解决了我的问题,感谢资源主。
qq_37904759
2021-12-22
用户下载后在一定时间内未进行评价,系统默认好评。
m0_51442125
2022-12-28
资源和描述一致,质量不错,解决了我的问题,感谢资源主。
结冰架构
粉丝: 782
资源:
28万+
私信
下载权益
C知道特权
VIP文章
课程特权
VIP享
7
折,此内容立减4.47元
开通VIP
上传资源 快速赚钱
我的内容管理
展开
我的资源
快来上传第一个资源
我的收益
登录查看自己的收益
我的积分
登录查看自己的积分
我的C币
登录后查看C币余额
我的收藏
我的下载
下载帮助
前往需求广场,查看用户热搜
最新资源
基于词向量融合的建筑文本分类方法研究.pdf
openssl-1.1.1w-1.tar.bz2
初一下册数学中考真题难题
解决未在远程桌面会话中捕获到鼠标,vmguest.iso软件分享给大家
JSP+SQL基于WEB的开放性实验管理系统设计与实现(源代码+论文+开题报告+中英文献+答辩PPT).rar
log4net配置文件!!!!!!!!!!!!!!!!!
河南统计面板数据集(2010-2022年).xlsx
OrcaleDBHelper帮助类!!!!!!!!!!!!
log4net帮助类,用来写日志!!!!!!!!!!!!!
Windows10时间同步源
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功