没有合适的资源?快使用搜索试试~
我知道了~
文库首页
开发技术
硬件开发
基于FPGA的qpsk调制解调器的建模与设计
基于FPGA的qpsk调制解调器的建模与设计
qpsk
VHDL
调制解调模型
4星
· 超过85%的资源
需积分: 13
18 下载量
54 浏览量
2010-03-28
13:14:36
上传
评论
4
收藏
326KB
CAJ
举报
温馨提示
立即下载
基于FPGA的四相移键控调制解调器的建模与设计 很好的毕设论文
资源推荐
资源评论
QPSK调制解调器的设计及FPGA实现
浏览:114
QPSK调制解调器的设计及FPGA实现,需要的下载学习此硕士毕业论文
基于fpga的ask调制
浏览:51
了解 FPGA在数据通信领域的具体应用实例 掌握用VHDL语言设计二进制振幅键控(ASK)调制器与解调器 了解用VHDL语言设计UART接口
通信与网络中的基于FPGA的16QAM调制器设计与实现
浏览:55
0 引言 为了满足现代通信系统对传输速率和带宽提出的新要求。人们不断地推出一些新的数字调制解调技术。正交幅度调制解调(quadrature ampli-tude modulation and demodulation)就是一种高效的数字调制解调方式。与其它调制技术相比,这种调制解调技术能充分利用带宽,且具有抗噪声能力强等优点。因而在中、大容量数字微波通信系统、有线电视网络高速数据传输、卫星通信
基于FPGA的QPSK调制解调器的设计
浏览:39
4星 · 用户满意度95%
基于FPGA的QPSK调制解调器的设计,用FPGA来实现调制解调的方法
基于FPGA 的QPSK 调制解调器
浏览:184
5星 · 资源好评率100%
这是个基于fpga 的QPSK调制解调器设计,非常完整,
GMSK调制解调器设计与FPGA实现
浏览:57
关于GMSK调制解调器设计与FPGA实现,内容还行,值得参考
用FPGA设计软件无线电和调制解调器
浏览:37
用FPGA设计软件无线电和调制解调器用FPGA设计软件无线电和调制解调器
基于FPGA的QPSK调制解调器.pdf
浏览:57
基于FPGA的QPSK调制解调器.pdf
基于FPGA的QPSK调制解调电路设计与实现.pdf
浏览:45
5星 · 资源好评率100%
基于FPGA的QPSK调制解调电路设计与实现.pdf
基于FPGA的QPSK调制解调系统设计与实现
浏览:55
基于FPGA的QPSK调制解调系统设计与实现
基于FPGA实现QPSK调制解调
浏览:170
本资源利用FPGA实现了QPSK全数字调制解调器设计,其中包括调制模块和载波恢复和位同步模块,并编写了testbench文件,可通过modelsim仿真查看波形
基于FPGA的MSK调制解调器设计与应用
浏览:110
基于FPGA的MSK调制解调器设计与应用,计和时序仿真。硬件部分在Altera公司EP2C15AF256C8NFPGA上实现。结果表明,数字MSK调制解调器具有相位连续,频带利用率高的优点。关键词:现场可编程逻辑阵列,最小频移键控,调制,时序仿真Abstract:
基于FPGA 的MSK 调制解调器设计与应用
浏览:12
architecture behav of codesdect is signal m : integer range 0 to 3; signal sdata : std_logic_vector(2 downto 0); begin cdata<= wavenum; process(clk,clr) begin if clr='1' then m<=0; els
基于FPGA的FSK调制解调器设计
浏览:21
基于FPGA的FSK调制解调器设计
基于FPGA的QPSK调制解调电路设计与实现-综合文档
浏览:135
基于FPGA的QPSK调制解调电路设计与实现
qpsk调制解调器simulink仿真
浏览:85
3星 · 编辑精心推荐
qpsk调制解调器Simulink仿真,使用COSTAS环载波同步的方法,其中包括误码率检测,星座图等
基于matlab的QPSK调制解调过程仿真,仿真包括串并转换,电平转换,载波调制,相干解调,抽样判决等,含仿真操作录像
浏览:152
5星 · 资源好评率100%
介绍了QPSK的调制解调原理,接着提出了一种基于MATLAB的调制解调方案,包括串并转换、电平转换、载波调制、信号合成、相干解调、抽样判决,和并串转换一系列系统的设计,对QPSK的星座图和调制解调进行了仿真,并对...
《基于FPGA的QPSK调制解调电路设计与实现》附VHDL程序!
浏览:136
5星 · 资源好评率100%
数字调制解调技术在数字通信中占有非常重要的地位,数字通信技术与FPGA的结合是现代通信系统发展的...文中介绍了QPSK调制解调的原理,并基于FPGA实现QPSK调制解调电路。MAX+PLUSII环境下的仿真结果表明了该设计的正确性。
基于FPGA的QPSK调制解调系统仿真,matlab仿真对比验证,和word论文
浏览:11
5星 · 资源好评率100%
基于FPGA的QPSK调制解调系统仿真,matlab仿真对比验证,word论文。偏移正交相移键控(OQPSK:Offset Quadrature Phase Shift Keying)调制技术广泛应用于卫星通信和移动通信领域,具有频谱利用率高、频谱特性好等特点...
基于MATLAB的QPSK调制解调器分析研究.zip
浏览:174
基于MATLAB的QPSK调制解调器分析研究
基于FPGA的QPSK调制解调的仿真与相关软件设计说明.doc
浏览:88
基于FPGA的QPSK调制解调的仿真与相关软件设计说明.doc
基于FPGA的QPSK调制解调电路设计与实现.doc
浏览:41
基于FPGA的QPSK调制解调电路设计与实现.doc
基于FPGA的全数字QPSK调制解调器的设计毕业设计.doc
浏览:100
基于FPGA的全数字QPSK调制解调器的设计毕业设计.doc
串口助手工具合集.zip
浏览:81
5星 · 资源好评率100%
收集整理常用的一些串口工具,比如串口波形显示,modbus协议调试,串口多条发送等各种功能软件。
OLED显示温度和时间-STM32F103C8T6(完整程序工程+原理图+相关资料).zip
浏览:199
5星 · 资源好评率100%
OLED 屏幕显示时间,温度。时间可以校准,屏幕通过取模,可以显示汉字。
Vivado license 永久
浏览:132
4星 · 用户满意度95%
2018.3测试可用,各种IP超级齐全,测试了srio可用,Jesd等IP均显示正常,理论上所有版本应该都支持,大家下来看看。
STM32全系列 Keil MDK pack包(当前最新离线包)
浏览:68
5星 · 资源好评率100%
STM32全系列 Keil MDK pack离线包 注:由于上传大小限制,除了F0和F1的包,其他的提供链接通过百度云下载。 包括以下包,当前最新版本(20200115) Keil.STM32F0xx_DFP.2.0.0.pack Keil.STM32F1xx_DFP.2.3.0.pack Keil.STM32F2xx_DFP.2.9.0.pack Keil.STM32F3xx_DFP
评论
收藏
内容反馈
立即下载
资源评论
资源反馈
评论星级较低,若资源使用遇到问题可联系上传者,3个工作日内问题未解决可申请退款~
联系上传者
评论
ckn198806
2012-07-15
这个资料比较完整,是一片完整的论文
sdpybb
粉丝: 2
资源:
9
私信
上传资源 快速赚钱
我的内容管理
展开
我的资源
快来上传第一个资源
我的收益
登录查看自己的收益
我的积分
登录查看自己的积分
我的C币
登录后查看C币余额
我的收藏
我的下载
下载帮助
前往需求广场,查看用户热搜
最新资源
power_save.c
常用常见 SQL语句语法
常用常见 SQL语句语法
常用常见 SQL语句语法
bootstrap.min.css和bootstrap.min.js和jquery.rar
yolo-fastest.zip
Windows server 2019 NET2.0,无法安装NET3.5
halcon下载全部算子
IMG_20240522_082537.jpg
067.2.4-选择1-5.sz
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功