基于VHDL的2FSK调制解调器设计

star 4星 · 超过85%的资源 需积分: 39 34 下载量 137 浏览量 2012-11-05 10:56:20 上传 评论 4 收藏 943KB PDF 举报
preview
rocliu1989
  • 粉丝: 0
  • 资源: 3
上传资源 快速赚钱
voice
center-task 前往需求广场,查看用户热搜