没有合适的资源?快使用搜索试试~
我知道了~
文库首页
安全技术
网络攻防
Q1+Q2.doc
Q1+Q2.doc
1.该资源内容由用户上传,如若侵权请联系客服进行举报
2.虚拟产品一经售出概不退款(资源遇到问题,请及时私信上传者)
版权申诉
0 下载量
162 浏览量
2021-09-14
06:21:46
上传
评论
收藏
81KB
DOC
举报
温馨提示
限时特惠:¥9.90
19.90
Q1+Q2.doc
资源推荐
资源评论
计算Q1,Q2
浏览:104
caculate q1,q2 with python
Q1+Q2.zip
浏览:150
Q1+Q2.zip
PCM6020-Q1 学习笔记.doc
浏览:191
TI的PCM6020-Q1 ADC芯片,基于官方的datasheet,学习总结。
汽车行业周报:市场在交易Q2,而不是担心Q1的业绩.pdf
浏览:152
汽车行业周报:市场在交易Q2,而不是担心Q1的业绩.pdf
房地产:低基数致Q1大幅增长,Q2起有望逐步回归合理区间.pdf
浏览:31
房地产:低基数致Q1大幅增长,Q2起有望逐步回归合理区间.pdf
cd4518工作原理.doc
浏览:114
当Q1为1,Q4为0时,每输入一个时钟脉冲,计数单元Q2翻转一次;当Q1=Q2=1时,每输入一个时钟脉冲Q3翻转一次;当Q1=Q2=Q3=1或Q1=Q4=1时,每输入一个时钟脉冲Q4翻转一次。这样从初始状态(“0”态)开始计数,每输入10...
SPWM驱动H桥双极性调制波形图.doc
浏览:129
H桥的工作原理如下,正半波时Q1,Q4导通,Q2,Q3截止;负半波时Q2,Q3导通,Q1,Q4截止。而且要保证Q3和Q4,Q1和Q2不能同时导通。即Q3与Q4,Q1与Q2要有死区,所谓死区就是在导通和关断不是同时进行的,要错开一段时间。以...
EDA十二进制程序设计.doc
浏览:54
q0,q1,q2,q3:out std_logic); end count12; architecture rtl of count12 is signal tem:std_logic_vector(3 downto 0); begin q0(0); q1(1); q2(2); q3(3); process(clk) begin if(clr='1')then tem; elsif(clk'..
视得安楼宇对讲产品常见故障及故障解决方案.doc
浏览:183
咪筒输出由 Q6、Q7放大后由第3线输出,Q1、Q2、Q3及其外围元件组成“呜呜”声电路,8线为“叮咚”音乐片供电,U1及其外围元件组成“叮咚”声电路,并经Q4、Q5放大后送往扬声器,并由第3线送往主机。 故障分析:...
Q1中国笔记本市场关注调查报告.doc
浏览:67
Q1中国笔记本市场关注调查报告.doc
2020年Q1移动设备调查报告参考.doc
浏览:120
2020年Q1移动设备调查报告参考.doc
起重机械资格复审Q2考试题.doc
浏览:179
起重机械资格复审Q2考试题.doc
新版Matlab中神经网络训练函数Newff的详细讲解-新版Matlab中神经网络训练函数Newff的使用方法.doc
浏览:169
PR x Q1 matrix of Q1 sample R-element input vectorsTSN x Q2 matrix of Q2 sample SN-element target vectorsSiSize of ith layer, for N-1 layers, default = [ ]. TFiTransfer function of ith layer. ...
数据结构-有理数抽象数据类型.doc
浏览:183
#include<stdio.h> #include<malloc.h> #include<stdlib.h> #define OK 1 #define ERROR 0 #define OVERFLOW -... } Status Rationaladd(Rational &Q,Rational Q1,Rational Q2) { if(Q1==NULL ""
个人机器人调查问卷.doc
浏览:26
Q1 您的年龄范围? (单选题) A. 10-20 B. 20-30 C. 30以上 Q2 您的性别? (单选题) A. 男 B. 女 Q3 您以前对个人机器人有了解吗? (单选题) A. 有一些了解,但不全面 B. 了解 C. 没有,想了解 D. 不想了解,它离我...
视得安维修手册.doc
浏览:126
咪筒输出由 Q6、Q7放大后由第3线输出,Q1、Q2、Q3及其外围元件组成“呜呜”声电路,8线为“叮咚”音乐片供电,U1及其外围元件组成“叮咚”声电路,并经Q4、Q5放大后送往扬声器,并由第3线送往主机。
单片机技术课程标准.doc
浏览:94
2.3.3 素质目标 Q1.具有良好的职业道德、规范操作意识; Q2.具备良好的团队合作精神; Q3.具备良好的组织协调能力; Q4.具有求真务实的工作作风; Q5.具有开拓创新的学习精神; Q6.具有良好的语言文字表达能力。 ...
Q1移动设备调查报告精选.doc
浏览:163
Q1移动设备调查报告精选.doc
ORCAD实验报告.doc
浏览:14
实验一 Pspice软件的分析过程 【实验目的】: 熟悉PSpice的仿真功能,熟练掌握各种仿真参数... 【实验内容】: 一、差分放大电路如图4-1所示,Q1和Q2为参数完全一致的三极管(Q2N2222 ),用Pspice分析该电路。 (1)输
模板支架计算书.doc
浏览:18
5星 · 资源好评率100%
一、概况: ...q1=1.2 qK1=1.2×4.32=5.184 KN/m 作用大横向水平杆可变荷载标准值: qK2=1×1+2×1=3KN/m 作用大横向水平杆可变荷载设计值: q2=1.4 qK2=1.4×3=4.2 KN/m 大横向水平杆受最大弯矩
[详细完整版]2单片机程序.doc
浏览:158
//定义键盘列地址 uchar xdata *q1=0x08002; //定义位码输出地址 uchar xdata *q2=0x08004; //定义段码输出地址 uint num; //键盘按键 uint y1,y2; //延时程序所用变量 uint shu; uint key; //
介电常数实验实验报告.doc
浏览:29
5星 · 资源好评率100%
实验结果 1、tanδ和ε测定记录 编号 "C1 "C2 "C "d "ψ "Q1 "Q2 "ΔQ " "1 "509.30 "501.00 "8.30 "1.156 "14 "51.2 "51 "0.2 " "介电常数ε 1) 介质损耗角正切tanδ 2) Q值 注意事项 电压或频率的剧烈波动常使...
基于单片机的PWM发生器课程设计.doc
浏览:88
如果三极管Q1和Q2同 时导通,那么电流就会从正极穿过两个三极管直接回到负极。此时,电路中除了三极管 外没有其他任何负载,因此电路上的电流就可能达到最大值(该电流仅受电源性能限制 ),甚至烧坏三极管。基于...
评论
收藏
内容反馈
1.该资源内容由用户上传,如若侵权请联系客服进行举报
2.虚拟产品一经售出概不退款(资源遇到问题,请及时私信上传者)
版权申诉
限时特惠:¥9.90
19.90
资源评论
资源反馈
评论星级较低,若资源使用遇到问题可联系上传者,3个工作日内问题未解决可申请退款~
联系上传者
评论
CSGOGOTO
粉丝: 36
资源:
27万+
私信
上传资源 快速赚钱
我的内容管理
展开
我的资源
快来上传第一个资源
我的收益
登录查看自己的收益
我的积分
登录查看自己的积分
我的C币
登录后查看C币余额
我的收藏
我的下载
下载帮助
前往需求广场,查看用户热搜
最新资源
mysql-5.7.35-linux-glibc2.12-x86-64.tar
java将grib2数据转为json格式
ffmpeg安装软件.rar
Go语言练习资源 - go-main
第十五届蓝桥杯省一代码
海信智能电视刷机数据 LED42K330X3D(0000) 生产用软件数据 务必确认机编一致 强制刷机 整机USB升级程序
shujudaochuceshi
learn-ruby.zip
test111111111111111111
海信智能电视刷机数据 LED42K326X3D(0011) 生产用软件数据 务必确认机编一致 强制刷机 整机USB升级程序
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功