基于VHDL语言的交通灯设计

需积分: 9 8 下载量 72 浏览量 2010-12-03 23:10:02 上传 评论 收藏 431KB DOC 举报
preview