用verilog编写的sigma-delta adc例子

preview
共7个文件
v:5个
vhd:1个
txt:1个
star 5星 · 超过95%的资源 需积分: 49 167 下载量 188 浏览量 2010-08-16 16:12:51 上传 评论 12 收藏 4KB RAR 举报
刘宜卓
  • 粉丝: 8
  • 资源: 14
上传资源 快速赚钱
voice
center-task 前往需求广场,查看用户热搜