没有合适的资源?快使用搜索试试~
我知道了~
文库首页
行业
交通
基于EDA交通灯控制器
基于EDA交通灯控制器
需积分: 10
20 下载量
17 浏览量
2011-01-21
19:38:01
上传
评论
2
收藏
1.76MB
DOC
举报
温馨提示
立即下载
模块的Verilog HDL程序,并且利用Quartus Ⅱ对应用程序进行了仿真
资源推荐
资源评论
基于eda的交通灯设计
浏览:169
基于eda技术的简单简单交通灯的设计,里面有各种功能的详细说明。希望能对eda课程设计的朋友同学们有所帮助
基于eda设计的交通灯
浏览:37
课程设计eda交通灯通过调试没问题 ,数码管即可显示时间以及红绿灯显示 满分作品
EDA 基于quartus平台制作的步行街自助式交通灯控制器(含vhdl程序、波形图、及电路原理图)+课程设计报告
浏览:132
4星 · 用户满意度95%
由本人制作的含有EDA交通灯控制器课程设计,课题内容为: (1)正常情况下保证主干道的畅通; (2)当步行街道上的行人要穿过主干道时,通过按钮来发出请求; (3)当有人按下控制按钮时,主干道变为黄灯,设置计数器计时时间为3秒。 (4)3秒过后,主干道变为红灯,计数器继续计时(计时时间为15秒),在15秒内若有人再次按按钮,计数器不重新计时; (5)步行街道在主干道变为红灯时指示变为绿灯,行人可通行
基于eda交通灯控制课程设计
浏览:9
非常详细的课程设计,每个模块都有源程序,原理图,和仿真图。
基于EDA的模拟交通灯设计
浏览:155
用EDA实现的模拟交通灯、LED显示 、汇编程序
交通灯控制器EDA实验报告
浏览:132
4星 · 用户满意度95%
利用状态机设计一个交通控制器,控制十字路口主、支两条通道路的红、绿、黄三色灯,指挥车辆和行人安全通行 设计一个十字路口的交通灯控制器,能显示十字路口东西、南北两个方向的红、黄、绿灯的指示状态。 要求交通灯控制器有复位功能,在复位信号使能的情况下能够实现交通灯的自动复位,并且要求所有交通灯的状态变化,包括复位信号引起的均发生在时钟脉冲的上升沿处。
交通灯控制器(数电实习、Quartus II软件代码、EDA)
浏览:87
交通灯控制器(数电实习、Quartus II软件代码、EDA)
交通灯控制电路的设计与实现
浏览:65
4星 · 用户满意度95%
1、设计一个十字路口的交通灯控制电路,要求东西方向车道和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为45秒。时间可设置修改。 2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道; 3、黄灯亮时,要求每秒闪亮一次。 4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用倒计时的方法)。 5、同步设置人行横道红、绿灯指示。
十字路口的交通灯控制 Verilog代码(详细备注)
浏览:120
5星 · 资源好评率100%
本代码需要用到EL-SOPC4000试验箱上交通灯模块中的发光二极管,即红、黄、绿各三个。依人们的交通常规,“红灯停,绿灯行,黄灯提醒”。其交通灯的亮灭规律为:初始态是两个路口的红灯全亮,之后东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开
EDA/PLD中的基于EDA的交通灯控制系统
浏览:23
引言 EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。 实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度
交通灯控制器的EDA设计
浏览:147
交通灯控制器的设计,使用VHDL语言实现的。可以用CPLD/FPGA实现均可。已验证。
EDA/PLD中的基于EDA的交通灯控制系统 (图)
浏览:171
Traffic light control system based on EDA 摘 要:本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路口交通灯系统的控制器的硬件电路描述,在Altera公司的EDA软件平台MAX+PLUSⅡ环境下通过了编译、仿真,并下载到CPLD器件上进行编程制作,实现了交通灯系统的控制过程。关键词:EDA;VHDL;控制器;CPLD
基于EDA的交通灯设计
浏览:120
为了确保十字路口的行人和车辆顺利、畅通地通过,往往采用电子控制的交通信号来进行指挥。以下就是运用数字电子设计出的交通灯:其中红灯亮,表示该条路禁止通行;黄灯亮表示停车;绿灯亮表示允许通行。
EDA/PLD中的基于EDA的交通灯控制系统作
浏览:153
引言 EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。 实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度
基于VHDL语言与EDA交通灯控制器设计
浏览:145
基于VHDL语言与EDA交通灯控制器设计。
十字路口交通控制灯-----使用vhdl语言设计
浏览:99
4星 · 用户满意度95%
以实验台上的4个红色指示灯、4个绿色指示灯和4个黄色指示灯模仿路口的东、西、南、北四个方向的红、绿、黄交通灯。控制这些指示灯,使它们按下列规律亮、灭: ①初始状态为四个方向的红灯全亮,时间1秒。 ②东、西方向绿灯亮,南、北方向红灯亮。东、西方向通车,时间5秒。 ③东、西方向黄灯闪烁,南、北方向红灯亮,时间2秒。 ④东、西方向红灯亮,南、北方向绿灯亮。南、北方向通车,时间5秒。 ⑤东、西方向红灯亮,
数字系统设计实验报告EDA技术
浏览:177
数字系统设计实验报告,中国矿业大学,计算机学院,
十字路口交通信号灯PLC控制系统设计与调试
浏览:195
课题1: 十字路口交通信号灯PLC控制系统设计与调试 课题2: PLC 控制变频调速系统设计与调试 课题3: 五层电梯模型 PLC 控制系统设计与调试 课题4: 五相十拍步进电动机控制程序的设计与调试 课题5: 机械手操作控制装置 课题6: 工业铲车操作控制 课题7: 车辆出入库管理 课题8: 自动门控制装置 课题9: 三相六拍步进电动机控制程序的设计与调试 课题10:锯齿波发生器
基于EDA设计的交通灯
浏览:166
基于EDA的设计。有原理图,分析结果。什么都有。就是一份完整的报告
交通灯控制器设计 EDA
浏览:63
基于QuartusII上交通灯控制器设计 ,硬件描述语言
EDA/PLD中的基于VHDL的交通灯控制器设计
浏览:26
5星 · 资源好评率100%
应用VHDL语言设计数字系统,大部分设计工作可在计算机上完成,从而缩短系统开发时间,提高工作效率。下面介绍基于VHDL设计交通灯控制器的一种方案,并给出源程序和仿真结果。 1 系统功能与要求 交通灯控制器控制两个主干道交叉路口的交通,路口车辆多,直行信号、左转弯信号分开显示,a,b两个主干道的通行时间相等,其中指示直行的绿灯亮30 s,指示左转弯的绿灯亮12 s,绿灯变至红灯时,黄灯亮3 s
基于EDA交通灯设计
浏览:125
设计一个主干道和支干道十字路口的交通灯控制电路,要求如下: ①一般情况下,保持主干道畅通,主干道绿灯亮、支干道红灯亮,并且主干道绿灯亮的时间不得少于60s。 ②主干道无车,支干道有车,则主干道红灯亮、支干道绿灯亮,但支干道绿灯亮的时间不得超过30s。 ③每次主干道或支干道绿灯变红灯时,黄灯先亮5s。 设计要求: 1. 有MR(主红)、MY(主黄)、MG(主绿)、CR(乡红)、CY(乡黄)、CG(乡
基于EDA的交通灯控制器课程设计.doc
浏览:181
基于EDA的交通灯控制器课程设计.doc
EDA/PLD中的EDA中的交通灯控制器JTDKZ的VHDL源程序
浏览:105
交通灯控制器JTDKZ的VHDL源程序 来源:ks99
EDA课程设计_十字路口交通灯控制器
浏览:126
3星 · 编辑精心推荐
EDA课程设计_十字路口交通灯控制器
EDA交通灯控制器的设计
浏览:133
5星 · 资源好评率100%
使用FPGA语言,利用QUARTUS II 软件编写一个交通灯控制器,通过分析波形来分析交通灯的控制状态。
EDA交通灯控制器整个程序
浏览:43
4星 · 用户满意度95%
实训做的EDA交通灯控制器整个程序,希望对你有帮助
基于VHDL的EDA交通灯
浏览:169
Quartus||基于VHDL语言的EDA交通灯设计,很不错的设计!
基于EDA的六层电梯控制器
浏览:67
基于EDA的六层电梯控制器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity dianti is port ( clk : in std_logic; --时钟信号(频率为2Hz) full,deng,quick,clr
评论
收藏
内容反馈
立即下载
资源评论
资源反馈
评论星级较低,若资源使用遇到问题可联系上传者,3个工作日内问题未解决可申请退款~
联系上传者
评论
美宝
粉丝: 18
资源:
54
私信
上传资源 快速赚钱
我的内容管理
展开
我的资源
快来上传第一个资源
我的收益
登录查看自己的收益
我的积分
登录查看自己的积分
我的C币
登录后查看C币余额
我的收藏
我的下载
下载帮助
前往需求广场,查看用户热搜
最新资源
sklearn 实现混淆矩阵的计算
消操职考.apk
Unmanned Ground Vehicles (UGVs) Developments
得力D31系列打印机错误代码
ISO-26262中文版,道路车辆功能安全标准
[Abraham_Berman,_Robert_J._Plemmons]_Nonnegative_m(bookzz.org).djvu
Java基础知识面试必备
神通数据库单机部署安装
融云私有云部署证书信任
Longman Language Activator.djvu
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功