没有合适的资源?快使用搜索试试~
我知道了~
文库首页
开发技术
其它
Synopsys Synplify FPGA 2011.03 SP2 Win(3-12)
Synopsys Synplify FPGA 2011.03 SP2 Win(3-12)
Synplify
FPGA
2011.03
5星
· 超过95%的资源
需积分: 10
56 下载量
150 浏览量
2011-11-17
23:37:01
上传
评论
收藏
57.22MB
RAR
举报
温馨提示
立即下载
开通VIP(低至0.43/天)
买1年送3月
Synopsys Synplify FPGA 2011.03 SP2 Win disk3
资源推荐
资源评论
synplify pro 2015软件包及安装破解说明
浏览:10
synplify pro 2015软件包及安装破解说明
synplify破解版_synplify pro 2015破解.pdf
浏览:15
synplify破解版_synplify pro 2015破解.pdf
synplify_2015.03 破解版
浏览:91
synplify_2015.03 破解版,包括安装及破解步骤,以及正常安装后不能启动的解决办法,亲测win10可用
Synplify201809百度网盘.txt
浏览:136
3星 · 编辑精心推荐
FPGA综合工具Synplify的2018版本,windows版本,亲测可用。如果有问题,可以直接私聊我!
Synopsys Synplify FPGA 2011.03 SP2 Win(7-12)
浏览:191
3星 · 编辑精心推荐
Synopsys Synplify FPGA 2011.03 SP2 Win disk7
音频编解码芯片接口的FPGA应用
浏览:72
本文设计了一种基于FPGA的驱动模块,将WM8731的控制接口与数字音频接口转换为控制器通用的总线接口,使控制器可以像读写外部寄存器一样对WM8731芯片进行控制使用。
Synopsis Synplify 2011.03 WIN SP2
浏览:101
Synopsis Synplify 2011.03 Win SP2 Total 39 packages.
synplify使用
浏览:24
3星 · 编辑精心推荐
评定综合好坏最重要的两个指标:速度是否快和面积是否小; synplify是专门针对FPGA/CPLD的逻辑综合工具; synplify两个最显著的特点是BEST和Timing driven引擎,使得综合结果在速度和面积上都达到比较理想的效果;
基于FPGA的PSK解调器实现 (2011年)
浏览:53
自适应调制系统要求其解调器能够对多种调制方式进行解调。通过研究发现,采用合理电路结构与算法,可使基于FPGA(field-programmable gate array)的PSK(phase shift keying)数字解调器在仅改变部分电路结构的情况下,对多种PSK调制方式进行解调。对一些现有解调技术进行探讨,并利用这些算法,设计了一种基于FPGA的可实现解调多种PSK调制方式的数字解调器。并
VCS Version E-2011.03
浏览:195
【VCS Version E-2011.03】是Synopsys公司的一款重要的版本控制系统,专注于集成电路设计领域的验证和仿真。VCS全称为“Verilog Computer Simulation”,它提供了全面的硬件描述语言(HDL)支持,包括Verilog、...
Synopsys tools2018 全套.rar
浏览:65
《Synopsys工具2018全套:深入解析与应用》 Synopsys是一家全球领先的电子设计自动化(EDA)软件供应商,其工具广泛应用于集成电路设计、验证和优化领域。本资源"Synopsys tools2018 全套.rar"包含的是Synopsys在...
新版Synplify FPGA综合软件.pdf
浏览:36
Synplify是由新思科技公司(Synopsys)开发的FPGA综合工具,用于优化HDL代码的综合过程。新版Synplify FPGA综合软件具备了改进的综合算法,能够提升运行时间最高达30%,这对于FPGA设计师来说,意味着可以显著缩短从...
ces_dc_2017.09-sp4-20180502.tar.gz
浏览:84
4星 · 用户满意度95%
《Synopsys DC Lab 2017.09 SP4——集成电路设计的强大工具》 在集成电路设计领域,Synopsys公司推出的Design Compiler (DC) 是一款广泛使用的静态时序分析和逻辑综合工具,它在电子设计自动化(EDA)行业中扮演着...
synplify2015.03启动说明
浏览:6
5星 · 资源好评率100%
《Synplify2015.03启动详解》 Synplify是Synopsys公司推出的一款强大的FPGA综合工具,它能够将高级语言描述的硬件描述语言(HDL)转化为适合特定FPGA器件的门级网表,优化设计性能,提高设计效率。在成功安装...
synplify2015.03 crack+破解说明
浏览:96
3星 · 编辑精心推荐
内附两种synplify2015.03 crack,并且两套破解说明,可根据实际情况进行破解。
synplify2015.03破解说明
浏览:22
3星 · 编辑精心推荐
synplify2015.03破解说明
Synplify Pro V8.1 Crack
浏览:186
1. install syniplfy 8.1 then Identify 2.11. 2. copy License.txt to \Synplicity 我没有修改license里的hostid就直接使用了,目前还没有出现问题。 3. unrar syn81_crk.rar to \Synplicity\fpga_81\bin\mbin(overwrite). 4. For i
2018.03省市区
浏览:164
源文件里面国内省市区、邮政编码等信息,一般的地址信息够用了。“最新补充”是2018.3整理的全国新增市区/县级信息,希望有用
synplifypro
浏览:147
用于硬件开发的逻辑综合软件,synplifypro主要用于硬件开发
介绍FPGA的综合
浏览:2
综合是将我们的设计转化为FPGA可以读懂的配置文件的第一个步骤。本文努力从0基础开始向大家说明综合的基本知识和高级技巧。
Synopsis Synplify 2011.03 SP2 - 02
浏览:196
5星 · 资源好评率100%
Synopsis Synplify 2011.03 SP2 - 02
基于SPI接口的AD、DA的FPGA配置程序
浏览:124
5星 · 资源好评率100%
基于SPI接口的AD、DA的FPGA配置程序,完美应用于Analog device的AD、DA芯片。
synopsys工具安装教程.pdf
浏览:193
5星 · 资源好评率100%
synopsys工具安装教程.pdf
Synplify快速入门.7z
浏览:166
Synplify是Synopsys公司开发的一款高效、全面的硬件描述语言(HDL)综合工具,广泛应用于FPGA设计流程中,能够将高级语言描述的数字逻辑转换为适合特定 FPGA 芯片的门级网表。 在《Synplify快速入门》PDF文档中,你...
Synopsys IC软件工具安装详细步骤
浏览:36
"Synopsys IC软件工具安装详细步骤" Synopsys IC软件工具是一款功能强大的电子设计自动化(Electronic Design Automation,EDA)工具,广泛应用于集成电路(Integrated Circuit,IC)设计、验证和测试等领域。为了...
vivado与modelsim的版本匹配
浏览:158
- Synopsys VCS and VCS MX (N-2017.12-SP2) - Aldec Active-HDL (10.5) - Aldec Riviera-PRO (2018.02) 4. Vivado Design Suite 2018.2 支持的模拟器: - Mentor Graphics ModelSim SE/DE/PE (10.6c) - ...
IEEE_standar.rar_IEEE 1076-2000_IEEE Standard_IEEE_standar_vhdl_
浏览:22
3. **设计实例** - 提供一些基于VHDL的示例代码,展示如何按照标准创建和验证数字系统,例如加法器、计数器、寄存器、FPGA或ASIC设计等。 4. **仿真和综合指南** - 讨论如何使用VHDL代码进行逻辑仿真和硬件综合,...
Synplify Pro8.1 & Crack.rar
浏览:67
5星 · 资源好评率100%
Synplify Pro8.1 & Crack
评论
收藏
内容反馈
立即下载
开通VIP(低至0.43/天)
买1年送3月
资源评论
资源反馈
评论星级较低,若资源使用遇到问题可联系上传者,3个工作日内问题未解决可申请退款~
联系上传者
评论
industrienorm
2014-08-13
不错的资源,谢谢分享
owen5
2013-03-07
已安装好,不错不错!赞一个!
jiangw1000
2012-08-20
很好用,已经破解,谢谢提供
james_zhan
2014-04-21
装过,又删了
hgh273747
2014-01-03
已安装好,不错不错!赞一个!
liang188
粉丝: 11
资源:
27
私信
上传资源 快速赚钱
我的内容管理
展开
我的资源
快来上传第一个资源
我的收益
登录查看自己的收益
我的积分
登录查看自己的积分
我的C币
登录后查看C币余额
我的收藏
我的下载
下载帮助
前往需求广场,查看用户热搜
最新资源
transformer-transformer
yolo3-yolo资源
yolo5实战-yolo资源
Android_tv_libs-安卓开发资源
EliteQuant_Python-anaconda安装
ch549g_dap_link-keil5安装教程
leyou-jdk1.8安装包
DslTabLayout-android
azurelanekeyan-模拟退火算法
bzGhost-Uniapp-uniapp
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功