没有合适的资源?快使用搜索试试~
我知道了~
文库首页
信息化管理
其它
温度报警器仿真.doc
温度报警器仿真.doc
1.该资源内容由用户上传,如若侵权请联系客服进行举报
2.虚拟产品一经售出概不退款(资源遇到问题,请及时私信上传者)
版权申诉
0 下载量
13 浏览量
2021-10-07
07:45:46
上传
评论
收藏
654KB
DOC
举报
温馨提示
限时特惠:¥19.90
39.90
温度报警器仿真.doc
资源推荐
资源评论
温度报警器实验报告.doc
浏览:101
温度报警器实验报告.doc
基于labview的温度报警器.doc
浏览:192
5星 · 资源好评率100%
基于labview的温度报警器
基于单片机的煤气报警器设计.doc
浏览:36
基于单片机的煤气报警器设计.doc
单片机课程设计温度检测报警器.doc
浏览:33
5星 · 资源好评率100%
图3.1 温度检测报警器系统组成框图 3.2单片机最小系统设计 目前的单片机开发系统只能够仿真单片机,却没有给用户提供一个通用的最小系统。 由设计的要求,只要做很小集成度的最小系统应用在一些小的控制单元。其...
基于8086微处理器的温度测控系统设计.doc
浏览:55
基于8086微处理器的温度测控系统设计.doc
AT89S51单片机防盗防火报警器的设计 仿真图.doc
浏览:190
AT89S51单片机防盗防火报警器的设计 仿真图.doc
大学各种课程设计
浏览:9
5星 · 资源好评率100%
CDMA通信系统中的接入信道部分进行仿真与分析.doc LED显示屏动态显示和远程监控的实现.doc MCS-51单片机温度控制系统.doc USB接口设计.pdf 仓库温湿度的监测系统.doc 全遥控数字音量控制的D 类功率放大器.doc 单片机...
51单片机温度报警器.doc
浏览:191
单片机技术
温度报警器的设计及制作.doc
浏览:198
温度报警器的设计及制作.doc
温度报警器的设计和制作.doc
浏览:130
温度报警器的设计和制作.doc
单片机温度报警器报告.doc
浏览:177
单片机温度报警器报告.doc
基于单片机的温度报警器设计与制作_kaic.doc
浏览:12
5星 · 资源好评率100%
4.4 报警电路设计 4.5 显示电路设计 4.6电源电路设计 5 系统软件设计 5.1主程序 5.2读出温度子程序 5.3温度转换命令子程序 5.4 计算温度子程序 5.5 键盘扫描流程图 6 测试与结果分析 6.1仿真软件介绍 6.2...
热释电报警器毕业论文(附仿真+程序)带方案选择的毕业设计.doc
浏览:130
5星 · 资源好评率100%
热释电报警器毕业论文(附仿真+程序)带方案选择的毕业设计
VHDL电梯控制器程序设计与仿真.doc
浏览:66
5星 · 资源好评率100%
电梯控制器VHDL程序与仿真。 -- --文件名:dianti.vhd。 --功能:6层楼的电梯控制系统。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity ...
单片机应用系统设计与仿真.doc
浏览:39
东 华 大 学 机 械 工 程 学 院 实验指导书 "实验名称 "单片机应用系统设计与仿真 " "课程名称 "自选综合实验 " "专 业 "机械工程及"学 期 "第一学期 " " "自动化 " " " "姓 名 "Xin.Wei "学 号 " " "指导教师 " ...
基于单片机的温度监控电路设计.doc
浏览:89
5.1温度控制器整体图……………………………………………………19 5.2温度控制器工作图……………………………………………………19 六 结论……………………………………………………………..20 七 致谢…………...
温度报警器的设计与制作.doc
浏览:97
温度报警器的设计与制作.doc
模电温度报警器仿真.ms14
浏览:24
模电温度报警器仿真.ms14
单片机温度报警器硬件设计.doc
浏览:158
Harbin Institute of Technology 课程项目设计报告 课程名称: 电子系统设计与实践 项目名称: 基于单片机最小系统温 度测定及报警 姓 名: 注:本报告仅供参考 哈尔滨工业大学 1.项目来源及研究的目的和意义 《电子系统设计与实践》课程以培养参加电子设计大赛的基本技能为目标,且对于电子 与信息工程学院的学生来说,掌握单片机及硬件小系统的设计、搭建与调试是基本要求 之一
单片机上下限温度报警器设计.doc
浏览:92
单片机上下限温度报警器设计.doc
智能化温度报警器的设计.doc
浏览:173
智能化温度报警器的设计.doc
单片机原理及应用课程设计红外防盗报警器.doc
浏览:161
5星 · 资源好评率100%
12 3.4.1 主程序工作流程图 12 5 总结 13 6 参考文献 14 附录一 设计编程程序 14 END 15 附录二 单片机控制的红外防盗报警器原理图 16 附录三 单片机控制的红外防盗报警器PCB图 17 附录四 Proteus仿真原理图 ...
电子万年历的设计与仿真.doc
浏览:134
电子万年历的设计与仿真 第1章 绪 论 1.1引言 二十一世纪的今天,最具代表性的计时产品就是电子万年历,它是近代世界钟表业界 的第三次革命。第一次是摆和摆轮游丝的发明,相对稳定的机械振荡频率源使钟表的走 时差...
基于单片机的智能温度计的设计.doc
浏览:118
5星 · 资源好评率100%
蜂鸣器主要分为压电式蜂鸣器和电磁式蜂鸣器两种类型 ,通常在计算机、打印机、复印机、报警器、电子玩具、汽车电子设备、电话机、定时 器等电子产品中作发声器件使用。 3. DS18B20 DS18B20数字温度传感器接线方便,...
电子类毕业设计论文光伏发电单片机设计智能稳压电源等45篇WORD版论文资料合集.zip
浏览:189
5星 · 资源好评率100%
烟雾报警器的设计与制作.doc 空气比热容比智能测试仪的设计.doc 红外光谱分析技术在大豆油油品质检测中的应用研究.doc 茶叶品质的红外光谱研究.doc 车辆夜间会车远近光的自动控制装置.doc 金属材料残余奥氏体的检测...
单片机课程设计-红外热释电报警器.doc
浏览:117
5星 · 资源好评率100%
8 5.1.2 Keil软件简介 10 5.2 软件程序的实现 12 6 课程设计心得体会 17 7 参考文献 18 附图1:单片机控制的红外防盗报警器原理图 19 附图2:仿真原理图 20 附图3:实物图 21 1课程设计目的 随着时间的推移,计算机...
评论
收藏
内容反馈
1.该资源内容由用户上传,如若侵权请联系客服进行举报
2.虚拟产品一经售出概不退款(资源遇到问题,请及时私信上传者)
版权申诉
限时特惠:¥19.90
39.90
资源评论
资源反馈
评论星级较低,若资源使用遇到问题可联系上传者,3个工作日内问题未解决可申请退款~
联系上传者
评论
dchw66
粉丝: 21
资源:
18万+
私信
下载权益
C知道特权
VIP文章
课程特权
VIP享
7
折,此内容立减5.97元
开通VIP
上传资源 快速赚钱
我的内容管理
展开
我的资源
快来上传第一个资源
我的收益
登录查看自己的收益
我的积分
登录查看自己的积分
我的C币
登录后查看C币余额
我的收藏
我的下载
下载帮助
前往需求广场,查看用户热搜
最新资源
Linux 常用命令参考手册, 日常运维的最佳拍档
常用的60个Linux常用命令
串口串口串口串口串口串口
SA-1B json转mask图像python脚本
svnsvnsvnsvn
repository maven
SA-1B json转mask图像python代码
58127868984718网商盟抖音聚合全自动引流助手.apk
project.ioc
3款正射影像DOM导入CASS插件(测试通过).rar
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功