没有合适的资源?快使用搜索试试~
我知道了~
文库首页
硬件开发
嵌入式
FPGA实现4位7段码数码管显示控制
FPGA实现4位7段码数码管显示控制
fpga开发
verilog
需积分: 5
1 下载量
72 浏览量
2024-03-20
23:12:16
上传
评论
收藏
5KB
V
举报
温馨提示
立即下载
使用verilog实现4位7段码数码管显示控制,自己产品上用的,验证无误,可放心使用。
资源推荐
资源评论
74LS47-7段码数码管驱动芯片
浏览:88
4星 · 用户满意度95%
74LS47-7段码数码管驱动芯片资料 下载后回复!
倒置数码管显示段码
浏览:142
倒置数码管显示 段码 数码管也称LED数码管,不同行业人士对数码管的称呼不一样,其实都是同样的产品。 按发光二极管单元连接方式可分为共阳极数码管和共阴极数码管。共阳数码管是指将所有发光二极管的阳极接到一起...
【Proteus仿真+Keil程序】51单片机实现利用矩阵键盘、38译码器控制8位动态数码管显示
浏览:42
51单片机实现利用矩阵键盘、38译码器控制8位动态数码管显示
DDM4A四位7段码显示器.zip三菱PLC编程案例源码资料编程控制器应用通讯通信例子程序实例
浏览:15
DDM4A四位7段码显示器.zip三菱PLC编程案例源码资料编程控制器应用通讯通信例子程序实例DDM4A四位7段码显示器.zip三菱PLC编程案例源码资料编程控制器应用通讯通信例子程序实例DDM4A四位7段码显示器.zip三菱PLC编程...
LED四位数码管显示
浏览:162
利用单片机控制的四位共阴数码管,用74HC373锁存选位,循环显示0~16 。有助于对七段数码管显示以及位码段码循环显示的认识
verilog 实现的0-9 计数器数码管显示
浏览:32
verilog 0-9计数器数码管显示,在实验箱上进行过测试的!!
DDM4A四位7段码显示器.zip
浏览:141
DDM4A四位7段码显示器.zipDDM4A四位7段码显示器.zipDDM4A四位7段码显示器.zip
基于Proteus无实物零基础STM32入门自学教程(四)--数码管动态显示
浏览:80
5星 · 资源好评率100%
简介:本项目为基于STM32F103R6为核心 的数码管动态工程。一共6位共阴的LED数码管...软件:采用数码管动态扫描方式,使用STM32F103R6芯片和6个共阴极LED数码管,通过数码管动态扫描程序实现6个数码管显示“654321”。
数码管的数字显示共阳共阴段码
浏览:129
常用的7段数码管组成结构及显示原理,共阳数码管和共阴数码管段码。
六位数码管显示模块技术文档资料及C51单片机参考测试例程源码.zip
浏览:100
5星 · 资源好评率100%
六位数码管显示模块技术文档资料及C51单片机参考测试例程源码, 一、 尺寸:84mm X30mm X20mm 长X宽X高 二、 主要器件:共阳数码管 三、 工作电压:直流5伏 四、 特点: 1、六位独立数码管显示。 2、内部有三极管...
verilog 编写数码管循环显示器
浏览:97
采用DE2核心FPGA 开发板,设计一个数码管循环显示程序。通过编程在数码管上显示八位英文字符和数字
STM32F103测频率4位LCD段码屏HT1621驱动显示STM32CUBEMX配置MDK编译
浏览:178
使用STM32F103C8T6测量方波频率,并用HT162驱动4位LCD段码显示屏显示,使用STM32CUBEMX配置,MDK编译
三菱PLC例程源码DDM4A四位7段码显示器
浏览:41
三菱PLC例程源码DDM4A四位7段码显示器本资源系百度网盘分享地址
基于C#的七段数码管显示当前电脑时间-Timer.zip
浏览:146
5星 · 资源好评率100%
通过C#编写自定义的七段数码管控件,再将控件引用到当前时间显示。欢迎下载,这是个很美观的七段数码管显示时间。
eda实验修改7段码的值,来显示你要显示的数
浏览:13
你可以修改7段码的值,来显示你要显示的数--本实验,按下板上的四个KEY时,数码管显示得到的数据 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; ...
数码管(段码)生成工具
浏览:191
4星 · 用户满意度95%
数码管段码生成工具,可生成数码管0-f的段码,方便写程序时使用
单片机数码管段码.pdf
浏览:92
单片机数码管段码.pdf
单片机驱动数码管显示
浏览:5
单片机驱动数码管显示 学习单片机驱动数码管动态显示的电路设计和编程...理解动态显示电路图,参考驱动程序,单片机P0口作段码输出控制,P1口作位码控制,使单片机驱动6个7段数码管输出实验当天年、月、日六位数字。
VHDL实验段数码管译码器设计与实现
浏览:103
一.实验目的 1. 掌握7段数码管译码器的设计与实现 2.... 二....设计一个7段数码管译码器,带数码管的4位可逆...结合上次实验,将4位可逆计数器,数码管显示,分别作为两个子模块,实现在数码管上显示的4位可逆计数器。
STM32CubeMx6.4.0版本+JRE安装包
浏览:179
5星 · 资源好评率100%
STM32CubeMx6.4.0版本+JRE安装包
KEIL5 常用stm32芯片包下载
浏览:2
5星 · 资源好评率100%
Keil.STM32F0xx_DFP.1.0.0.pack keil.stm32f1xx_dfp.1.0.2.pack keil.stm32f2xx_dfp.1.0.4.pack Keil.STM32F3xx_DFP.1.0.0.pack keil.stm32f4xx_dfp.1.0.5.pack Keil.STM32L1xx_DFP.1.0.0.pack Keil.STM32L4xx_DFP.2
第十五届蓝桥杯嵌入式组省赛完整工程
浏览:101
该工程代码实现了第十五届蓝桥杯嵌入式组程序设计题目要求。
ser2pl64.sys是电脑重要系统文件,主要用于串口转USB,描述:USB-to-Serial Cable Driver
浏览:62
ser2pl64.sys是电脑重要系统文件,字面意思就是64位的串口Pl驱动系统配置文件,如果你的电脑安装PL2303等问题弹出”非旺玖原装的PL2303,请联系您的供货商“或者出现提示信息”ser2pl64.sys丢失“或者“ser2pl64.sys损坏”等错误弹窗信息提示,将会导致电脑出现蓝屏,甚至其他一些未知的问题,影响电脑的正常使用,若要解决这问题,需要重新下载一个ser2pl64.sys
CubeMX,6.11版本
浏览:167
CubeMX,6.11版本
BL0942模块资料V1.1
浏览:135
BL0942模块资料V1.1
心率模块,MAX30102模块+oled模块,stm32f103 hal库完整代码
浏览:172
资源免费下载,不用会员也可以下载。设置一次读取功能,再次读取需要复位stm32f103,读取数值比较精准,是完整性代码,可以直接用,引脚有相关改动。用之前记得看,里面包括了,oled显示+max30102;读取数值期间尽可能少触碰max30102的排针,会造成读取数值缓慢的可能。相关问题可私信我
第十四届蓝桥杯省赛电子类嵌入式组完整工程代码
浏览:8
该工程代码实现了第十四届蓝桥杯省赛嵌入式程序设计题的各项要求。
第十五届蓝桥杯嵌入式省赛真题题目和答案
浏览:161
第十五届蓝桥杯嵌入式省赛真题题目和答案
“ 官网 ”最新版-《Arm Compiler 5.06 update 7 (build 960) Lin32》
浏览:122
5星 · 资源好评率100%
最新发布的MDK5.37已经不再安装Arm Compiler 5(ARMCC)编译器了,因为点击魔术棒后,在Target选项卡中选择编译器时,会看到missing:compiler version 5,如果要使用compiler version 5,需要自己安装。 由于官网下载网速比较慢,小立提前下载好并分享给你们。
评论
收藏
内容反馈
立即下载
资源评论
资源反馈
评论星级较低,若资源使用遇到问题可联系上传者,3个工作日内问题未解决可申请退款~
联系上传者
评论
caicaizuo
粉丝: 2
资源:
8
私信
上传资源 快速赚钱
我的内容管理
展开
我的资源
快来上传第一个资源
我的收益
登录查看自己的收益
我的积分
登录查看自己的积分
我的C币
登录后查看C币余额
我的收藏
我的下载
下载帮助
前往需求广场,查看用户热搜
最新资源
高精度算法头文件,加减乘除四则运算全覆盖!!!
程序员缓解工作压力的小窍门.md
BaiduNetdisk-7.40.0.5.exe.cab
CST Studio初级教程 五 3D模型
Neo4j 5.x 简体中文版指南.CHM
基于 java 的 调用大模型 代码, 和langhcain 有相似的功能
千锋教学Java课程大纲
传智播客Java课程大纲
Qt开发知识、经验总结 包括Qss,数据库,Excel,Model/View等
IV数据.xlsx
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功