没有合适的资源?快使用搜索试试~ 我知道了~
基于ad0820的vhdl控制器
需积分: 16 18 下载量 141 浏览量
2010-05-11
19:16:25
上传
评论
收藏 2KB TXT 举报
温馨提示
试读
3页
基于ad0820的vhdl控制器,可在xilinx,quarterii环境下使用,实现ad高速采样控制。
资源推荐
资源详情
资源评论
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity adc0820 is
Port ( d : in STD_LOGIC_VECTOR (7 downto 0);
clk : in STD_LOGIC;
int : in STD_LOGIC;
cs : out STD_LOGIC;
wr : out STD_LOGIC;
rd : out STD_LOGIC;
q : out STD_LOGIC_VECTOR (7 downto 0));
end adc0820;
architecture Behavioral of adc0820 is
TYPE states is(st0,st1,st2,st3,st4);
signal cur_state :states;
signal nex_state :states :=st0;
signal lock : std_logic;
signal dtmp : std_logic_vector(7 downto 0) :="00000000";
signal clktmp :std_logic :='1';
begin
process(clk)
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity adc0820 is
Port ( d : in STD_LOGIC_VECTOR (7 downto 0);
clk : in STD_LOGIC;
int : in STD_LOGIC;
cs : out STD_LOGIC;
wr : out STD_LOGIC;
rd : out STD_LOGIC;
q : out STD_LOGIC_VECTOR (7 downto 0));
end adc0820;
architecture Behavioral of adc0820 is
TYPE states is(st0,st1,st2,st3,st4);
signal cur_state :states;
signal nex_state :states :=st0;
signal lock : std_logic;
signal dtmp : std_logic_vector(7 downto 0) :="00000000";
signal clktmp :std_logic :='1';
begin
process(clk)
资源评论
evon2008
- 粉丝: 5
- 资源: 8
上传资源 快速赚钱
- 我的内容管理 展开
- 我的资源 快来上传第一个资源
- 我的收益 登录查看自己的收益
- 我的积分 登录查看自己的积分
- 我的C币 登录后查看C币余额
- 我的收藏
- 我的下载
- 下载帮助
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功