附录一:约束脚本
read_verilog {dcspiiTop.v spii.v iospiipads.v}
current_design dcspiiTop
link
check_design
create_clock -name "clk" -period 20 [get_pins iospiipads/clk/C]
set_clock_latency -max 0.5 [get_clocks clk]
set_clock_transition -max 0.2 [get_clocks clk
set_input_delay -max 12 -clock clk [get_pins "iospiipads/msb/C iospiipads/rd/C iospiipads/wr/C iospiipads/spidi/C iospiipads/statein*/C iospiipads/datain* /C"]
set_output_delay -max 12 -clock clk [get_pins "iospiipads/spics/PAD iospiipads/spiclk/PAD iospiipads/spido/PAD iospiipads/dataout*/PAD "]
set_dont_touch_network [get_clocks "clk"]
set_ideal_network [get_ports "CLK"]
set_dont_touch_network [get_ports RSTN]
set_ideal_network [get_ports RSTN]
check_timing
set_operating_conditions -min fast -max slow -min_library fast -max_library slow
set_wire_load_model -name tsmc18_wl20 -library slow
set_load -min -pin_load 1 [get_ports "spics spido spiclk dataout*"]
set_max_fanout 30 dcspiiTop
set_drive 0.5 [get_ports "MSB RD WR SPIDI STATEIN* DATAIN* RSTN"]
change_names -hierarchy -rules name_rule
set verilogout_no_tri true
set_fix_multiple_port_nets -all -buffer_constants
compile -map high
rc > ../output/tim.log
write -f verilog -hier -o ../netlst/dc_top.sv
write -f ddc -hier -o ../output/dc_top.ddc
write_sdc ../output/dc_top.sdc
report_area > ../output/top.area.log
附录二:管脚文件
Version: 1
Pad: iospiipads/CORNER1 SW
Pad: iospiipads/CORNER2 SE
Pad: iospiipads/CORNER3 NW
Pad: iospiipads/CORNER4 NE
Pad: iospiipads/datain_15 W
Pad: iospiipads/datain_14 W
Pad: iospiipads/datain_13 W
Pad: iospiipads/datain_12 W
Pad: iospiipads/datain_11 W
Pad: iospiipads/datain_10 W
Pad: iospiipads/datain_9 W
Pad: iospiipads/datain_8 W
Pad: iospiipads/datain_7 W
Pad: iospiipads/datain_6 W
Pad: iospiipads/datain_5 W
Pad: iospiipads/datain_4 W
Pad: iospiipads/datain_3 W
Pad: iospiipads/datain_2 W
Pad: iospiipads/datain_1 W
Pad: iospiipads/datain_0 W
Pad: iospiipads/dataout_15 E
Pad: iospiipads/dataout_14 E
Pad: iospiipads/dataout_13 E
Pad: iospiipads/dataout_12 E
Pad: iospiipads/dataout_11 E
Pad: iospiipads/dataout_10 E
Pad: iospiipads/dataout_9 E
Pad: iospiipads/dataout_8 E
Pad: iospiipads/dataout_7 E
Pad: iospiipads/dataout_6 E
Pad: iospiipads/dataout_5 E
Pad: iospiipads/dataout_4 E
Pad: iospiipads/dataout_3 E
Pad: iospiipads/dataout_2 E
Pad: iospiipads/dataout_1 E
Pad: iospiipads/dataout_0 E
Pad: iospiipads/clk S
Pad: iospiipads/rstn S
Pad: iospiipads/msb S
Pad: iospiipads/spidi S
Pad: iospiipads/rd S
Pad: iospiipads/wr S
Pad: iospiipads/vdd S
Pad: iospiipads/vss S
Pad: iospiipads/spido N
Pad: iospiipads/spics N
Pad: iospiipads/spiclk N
Pad: iospiipads/vdd1 N
Pad: iospiipads/vss1 N
Pad: iospiipads/statein_2 N
Pad: iospiipads/statein_1 N
Pad: iospiipads/statein_0 N
没有合适的资源?快使用搜索试试~ 我知道了~
SPI接口主模式电路设计
共1个文件
txt:1个
1.该资源内容由用户上传,如若侵权请联系客服进行举报
2.虚拟产品一经售出概不退款(资源遇到问题,请及时私信上传者)
2.虚拟产品一经售出概不退款(资源遇到问题,请及时私信上传者)
版权申诉
5星 · 超过95%的资源 5 下载量 93 浏览量
2023-02-06
17:32:18
上传
评论 4
收藏 1KB ZIP 举报
温馨提示
SPI接口主模式电路设计_ (1)主频100M,输出时钟频率可调:主时钟2_4_8_32_64分频; (2)具有主动收发功能; (3)发送、接收数据均16bit为单位; (4)使用 SMIC 工艺库 smic18mm_1P6M 完成设计; (5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、物理验证等.zip
资源推荐
资源详情
资源评论
收起资源包目录
SPI接口主模式电路设计_ (1)主频100M,输出时钟频率可调:主时钟2_4_8_32_64分频; (2)具有主动收发功能; (3)发送、接收数据均16bit为单位; (4)使用 SMIC 工艺库 smic18mm_1P6M 完成设计; (5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、物理验证等.zip (1个子文件)
spi接口设计.txt 3KB
共 1 条
- 1
资源评论
- qq_428735662024-01-21只有简单软件命令行,跟描述完全不符。收费,上传者还不回复,太离谱!
- 辞久c2023-12-26资源很不错,内容和描述一致,值得借鉴,赶紧学起来!
- Sure8612023-12-28资源中能够借鉴的内容很多,值得学习的地方也很多,大家一起进步!
- m0_743970512023-12-26感谢大佬分享的资源给了我灵感,果断支持!感谢分享~
- 2301_770083832023-12-15简直是宝藏资源,实用价值很高,支持!
GZM888888
- 粉丝: 120
- 资源: 2902
下载权益
C知道特权
VIP文章
课程特权
开通VIP
上传资源 快速赚钱
- 我的内容管理 展开
- 我的资源 快来上传第一个资源
- 我的收益 登录查看自己的收益
- 我的积分 登录查看自己的积分
- 我的C币 登录后查看C币余额
- 我的收藏
- 我的下载
- 下载帮助
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功