没有合适的资源?快使用搜索试试~
我知道了~
文库首页
课程资源
嵌入式
一位十进制数相加
一位十进制数相加
需积分: 9
6 下载量
17 浏览量
2012-05-10
14:11:43
上传
评论
1
收藏
131KB
MS10
举报
温馨提示
立即下载
一位十进制数加法器,进行十进制数的相加,方便快捷
资源推荐
资源评论
实验二 两个多位十进制数相加实验
浏览:196
5星 · 资源好评率100%
一、实验目的 1.掌握汇编子程序的编写方法。 2.掌握循环程序的设计方法。 二、实验内容 将键盘输入的两个5位十进制数相加,在屏幕上显示相加的结果。 三、实验要求 1.显示格式:被加数+加数=相加的结果; 2.考虑运算结果最高位有进位的情况。 3.输入和显示分别用子程序实现。
微机原理实验一__两个多位十进制数相加实验试做报告.pdf
浏览:15
5星 · 资源好评率100%
微机原理实验一__两个多位十进制数相加实验试做报告.pdf
实验一,2多位十进制数相加.rar
浏览:118
实验一,2多位十进制数相加,微机原理实验,附代码和一些参考图
微机原理实验一__两个多位十进制数相加实验试做报告
浏览:78
微机原理实验一__两个多位十进制数相加实验试做报告
将两个多位十进制数相加,要求加数和被加数均以ASCII码形式各自顺序存放以DATA1和DATA2为首的5个内存单元中(低位在前),结果送回DATA1处
浏览:5
4星 · 用户满意度95%
将两个多位十进制数相加,要求加数和被加数均以ASCII码形式各自顺序存放以DATA1和DATA2为首的5个内存单元中(低位在前),结果送回DATA1处
十进制数相加
浏览:153
将两个多位十进制数相加,要求加数和被加数均以ASCII码形式各自顺序存放以DATA1和DATA2为首的5个内存单元中(低位在前),结果送回DATA1处。
多位十进制数相加求和显示
浏览:100
该程序为汇编语言编写,功能是将两个多位十进制数(如四位)相加,要求被加数、加数以ASCII码形式按顺序存放在以DATA1和DATA2为首的5个内存单元中(低位在前)。将相加结果仍以常用十进制数形式显示在屏幕上。
任意两个十进制数相加
浏览:130
4星 · 用户满意度95%
该汇编语句完成的是从键盘上根据提示输入任意两位的十进制数,显示加号横线并显示结果
汇编两个十进制数相加
浏览:32
4星 · 用户满意度95%
汇编两个十进制数相加!!! 汇编两个十进制数相加!!!
两个多位十进制数相加的实验
浏览:133
5星 · 资源好评率100%
两个多位十进制数相加的实验 将两个多位十进制数相加,要求加数和被加数均以ASCII码形式各自顺序存放以DATA1和DATA2为首的5个内存单元中(低位在前),结果送回DATA1处。
十进制加法运算 汇编程序
浏览:193
5星 · 资源好评率100%
用汇编实现十进制加法运算,从键盘输入两个一位十进制数相加并输出结果。
十进制计数与显示电路的设计与仿真
浏览:190
十进制计数器、数码管扫描显示电路 主要程序如下: LED显示程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity tled is port(din: in std_logic_vector(7 downto 0); sn: ...
汇编实验 十进制求和
浏览:159
汇编实验: 1)编写程序完成:从无符号数X、Y、Z选取出最小数存放在W单元中。指出W单元的地址及其内容。 2)从键盘输入两个一位的十进制数,求其和,存入RESULT单元并从屏幕上输出。
基于LabVIEW编写的八位加法器电路功能
浏览:167
由于二-十进制编码器可以实现一位十进制数到四位二进制数的转换,而题目中的是两个三位十进制数,因此我们就需要用到6个二-十进制编码器,分别将三位十进制数的个位、十位、百位转换为其各自对应的8421BCD码,于是...
汇编语言 两个多位十进制数相加
浏览:159
汇编软件实验 实现了两个5位十进制数的相加 数实现存放在申请内存中
汇编语言-两个多位十进制数相加
浏览:148
5星 · 资源好评率100%
将两个多位十进制数相加,要求被加数、加数均以ASCⅡ码形式各自顺序存放在以DATA1和DATA2为首的五个内存单元中(低位在前),结果送回DATA1处。
一位十进制数加减法运算
浏览:31
5星 · 资源好评率100%
大学生 电子电路设计
汇编语言之 两个多位十进制数相加
浏览:189
这是两个多位十进制数相加的汇编语言程序源码。
一位十进制数加减法电路课程设计
浏览:66
包含课设报告,仿真文件,实际电路
十进制和二进制转换
浏览:3
4星 · 用户满意度95%
十进制转二进制: 用2辗转相除至结果为1 ...从最后一位开始算,依次列为第0、1、2...位 第n位的数(0或1)乘以2的n次方 得到的结果相加就是答案 例如:01101011.转十进制: 第0位:1乘2的0次方=1
二进制转十进制,十进制转二进制的原理
浏览:180
从最后一位开始算,依次列为第0、1、2...位 第n位的数(0或1)乘以2的n次方 得到的结果相加就是答案 例如:01101011.转十进制: 第0位:1乘2的0次方=1 1乘2的1次方=2 0乘2的2次方=0 1乘2的3次方=8 0乘2的4...
Java进制转换,十六进制、十进制、二进制
浏览:197
Java进制转换 正整数的十进制转换二进制 将一个十进制数除以二,得到的商再除以二,依此类推直到商等于一或零时为止,倒取除得的余数,即换算为二进制数的结果。...然后得出来的每个数相加即是十进制数
十进制加法器
浏览:9
5星 · 资源好评率100%
而每一位十进制数字的BCD加法器单元的逻辑结构示于图2.3(b)。图2.3 十进制加法器 在十进制运算时,当相加二数之和大于9时,便产生进位。可是用BCD码完成十进制数运算时,当和数大于9时,必须对和数进行加6修正。这是...
浅谈十进制小数和二进制小数之间的转换
浏览:123
5星 · 资源好评率100%
一、二进制数转换成十进制数 由二进制数转换成十进制数的基本做法是,把二进制数首先写成加权系数展开式,然后按十进制加法规则求和。这种做法称为”按权相加”法。 二、十进制数转换为二进制数 十进制数转换为二...
两个多位十进制数相加.md
浏览:97
两个多位十进制数相加
两个多位十进制数相加实验
浏览:115
5星 · 资源好评率100%
将两个多位十制数相加,要求加数和被加数均以ASCII码形式各自顺序存放在以DATAl和DATA2为首的5个内存单元中(低位在前),结果送DATA3处。
一位十进制运算器的设计
浏览:123
一位十进制运算器的设计 各个子模块的设计
用汇编语言实现两个4位十进制数相加
浏览:128
代码中是设x=1234;Y=5678.最终将两BCD码X+Y的和保存在SUM变量之中(结果在附加段,请自行用debug查看)
10to2.rar_十进制数相加_进制 汇编
浏览:11
用汇编实现两个十进制数相加,最后的结果显示在界面中。
评论
收藏
内容反馈
立即下载
资源评论
资源反馈
评论星级较低,若资源使用遇到问题可联系上传者,3个工作日内问题未解决可申请退款~
联系上传者
评论
xiangyuweiyi
粉丝: 0
资源:
1
私信
上传资源 快速赚钱
我的内容管理
展开
我的资源
快来上传第一个资源
我的收益
登录查看自己的收益
我的积分
登录查看自己的积分
我的C币
登录后查看C币余额
我的收藏
我的下载
下载帮助
前往需求广场,查看用户热搜
最新资源
T300-100.1.0.0.194.BL7
高等数学1.8节连续和间断
eight week.sas
Untitled.m
Halcon 使用直线划分轮廓线
摄像头接口摄像头接口摄像头接口
安卓Java Web Socket简单使用
html 菱形动态登录页面制作
111111111111111111111
JLINK环境,自带驱动软件烧录STM32教程!
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功