没有合适的资源?快使用搜索试试~ 我知道了~
资源推荐
资源详情
资源评论
收起资源包目录
简单的verilog贪吃蛇小程序 (202个子文件)
runme.bat 229B
runme.bat 229B
runme.bat 229B
vga_ctrl.bit 2.09MB
vga_ctrl_routed.dcp 1.28MB
vga_ctrl_placed.dcp 1003KB
vga_ctrl.dcp 612KB
vga_ctrl_opt.dcp 605KB
clk_wiz_0.dcp 10KB
clk_wiz_0.dcp 10KB
usage_statistics_webtalk.html 26KB
vivado.jou 500B
vivado.jou 479B
vivado_9108.backup.jou 476B
vivado.jou 476B
vivado_5888.backup.jou 476B
vivado_4524.backup.jou 476B
ISEWrap.js 5KB
ISEWrap.js 5KB
ISEWrap.js 5KB
rundef.js 1KB
rundef.js 1KB
rundef.js 1KB
runme.log 44KB
runme.log 24KB
runme.log 23KB
snake.lpr 343B
vivado.pb 72KB
vivado.pb 36KB
place_design.pb 17KB
route_design.pb 10KB
opt_design.pb 5KB
init_design.pb 3KB
write_bitstream.pb 3KB
vga_ctrl_power_summary_routed.pb 676B
vga_ctrl_utilization_synth.pb 231B
vga_ctrl_utilization_placed.pb 231B
clk_wiz_0_utilization_synth.pb 231B
vivado.pb 129B
vga_ctrl_route_status.pb 44B
vga_ctrl_drc_routed.pb 37B
vga_ctrl_timing_summary_routed.rpt 449KB
vga_ctrl_io_placed.rpt 57KB
vga_ctrl_clock_utilization_routed.rpt 9KB
vga_ctrl_utilization_placed.rpt 9KB
vga_ctrl_power_routed.rpt 8KB
vga_ctrl_utilization_synth.rpt 7KB
clk_wiz_0_utilization_synth.rpt 7KB
vga_ctrl_control_sets_placed.rpt 4KB
vga_ctrl_drc_opted.rpt 2KB
vga_ctrl_drc_routed.rpt 2KB
vga_ctrl_route_status.rpt 588B
vga_ctrl_timing_summary_routed.rpx 358KB
.write_bitstream.begin.rst 170B
.place_design.begin.rst 170B
.route_design.begin.rst 170B
.init_design.begin.rst 170B
.opt_design.begin.rst 170B
.vivado.begin.rst 169B
.vivado.begin.rst 169B
.vivado.begin.rst 169B
.vivado.end.rst 0B
.Vivado_Synthesis.queue.rst 0B
.write_bitstream.end.rst 0B
.place_design.end.rst 0B
.vivado.end.rst 0B
.Vivado_Implementation.queue.rst 0B
.init_design.end.rst 0B
.opt_design.end.rst 0B
.route_design.end.rst 0B
.vivado.end.rst 0B
.Vivado_Synthesis.queue.rst 0B
ISEWrap.sh 2KB
ISEWrap.sh 2KB
ISEWrap.sh 2KB
runme.sh 1KB
runme.sh 1KB
runme.sh 1KB
vga_ctrl.tcl 5KB
clk_wiz_0.tcl 3KB
vga_ctrl.tcl 1KB
clk_wiz_v5_1_changelog.txt 4KB
htr.txt 385B
htr.txt 379B
htr.txt 377B
vga_ctrl.v 9KB
clk_wiz_0_funcsim.v 7KB
clk_wiz_0_clk_wiz.v 6KB
clk_wiz_0.v 4KB
smg.v 3KB
vga_driver.v 2KB
clk_wiz_0_stub.v 1KB
vga_ctrl.vdi 23KB
vga_ctrl_5888.backup.vdi 21KB
vga_ctrl_4524.backup.vdi 20KB
vga_ctrl.vds 43KB
clk_wiz_0.vds 23KB
clk_wiz_0.veo 4KB
clk_wiz_0_funcsim.vhdl 8KB
clk_wiz_0_stub.vhdl 1KB
共 202 条
- 1
- 2
- 3
资源评论
- zhongmiaobao2017-12-14和我的板子一样,试试看
- 灵昊2018-05-20试试。。。
诛诸
- 粉丝: 10
- 资源: 2
上传资源 快速赚钱
- 我的内容管理 展开
- 我的资源 快来上传第一个资源
- 我的收益 登录查看自己的收益
- 我的积分 登录查看自己的积分
- 我的C币 登录后查看C币余额
- 我的收藏
- 我的下载
- 下载帮助
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功