• 用VHDL语言编写的表决器

    用VHDL语言编写的程序,通过CPLD器件实现表决功能,源代码非常简单

    0
    149
    355B
    2011-03-16
    11
上传资源赚积分or赚钱