• 8位乘法器,用verilog语言编写

    用verilog语言编写的8位乘法器,完成了8位二进制的整数乘法,供大家参考

    4
    2415
    618KB
    2010-05-14
    50
关注 私信
上传资源赚积分or赚钱