• SystemVerilog高级验证方法学

    完美的验证方法指南,里面有完全的验证平台测试,提供了一系列实例。本书为扫描版高清PDF,适合大家学习

    0
    140
    54.52MB
    2015-01-26
    34
  • 单片机c语言教程全集

    单片机c语言教程全集里面包括了很多例子,讲解详细,适合所有人学习

    0
    21
    1.17MB
    2015-01-06
    0
  • SystemVerilog语言简介

    SystemVerilog是一种硬件描述和验证语言(HDVL),它基于IEEE 1364-2001 Verilog硬件描述语言(HDL),并对其进行了扩展,包括扩充了C语言数据类型、结构、压缩和非压缩数组、 接口、断言等等,这些都使得SystemVerilog在一个更高的抽象层次上提高了设计建模的能力。SystemVerilog由Accellera开发,它主要定位在芯片的实现和验证流程上,并为系统级的设计流程提供了强大的连接能力。

    0
    308
    90KB
    2015-01-06
    10
  • ModelSim与QuestaSim功能介绍

    ModelSim与QuestaSim各自功能介绍以及区别

    0
    1517
    264KB
    2015-01-06
    50
  • 模拟电子技术

    模拟电子技术”是一门理论性、实践性很强的技术基础课,是电气信息类专业的主干课。由于课程内容多、概念强,并引入了工程分析的观点,给初学者带来了很大的困难。我们所制作的这套网上学习辅导系统就是为了配合课堂教学,解决内容多、难度大、学时少的矛盾。本学习辅导系统依据面向21世纪课程教材“电子技术基础”模拟部分(第四版),根据2000年教学大纲来进行内容的组织安排

    0
    35
    1.38MB
    2015-01-06
    0
  • ASIC 中的异步时序设计

    绝大部分ASIC设计工程师在实际工作中都会遇到异步设计的问题。文章针对异步时序产生的问题,介绍了几种同步的策略。结绳法和异步FIFO的异步比较法

    0
    172
    234KB
    2014-12-15
    11
  • [数据结构算法Visual.C.6.0程序集].侯识忠高清版.pdf

    [数据结构算法——Visual.C.6.0程序集].侯识忠.清晰版.pdf

    0
    0
    9.58MB
    2014-11-27
    10
上传资源赚积分or赚钱