没有合适的资源?快使用搜索试试~
我知道了~
文库首页
开发技术
硬件开发
4-16译码器 verilog语言
4-16译码器 verilog语言
verilog
5星
· 超过95%的资源
需积分: 49
79 下载量
61 浏览量
2013-12-01
17:22:25
上传
评论
2
收藏
805B
V
举报
温馨提示
立即下载
verilog编写的4—16译码器。译码电路
资源推荐
资源评论
4-16译码器VHDL语言设计
浏览:54
5星 · 资源好评率100%
4-16译码器VHDL语言设计, library ieee; use ieee.std_logic_1164.all; entity cjg4_16 is port(DATA:in std_logic_vector(3 downto 0); EN :in std_logic; Y:out std_logic_vector(15 downto 0)); end ent
4-16译码器程序
浏览:120
5星 · 资源好评率100%
vhdl语言描述的,4线-16线译码器的完整程序
4-16译码器vhedl源程序
浏览:138
用quartus7.2编写的4-16译码器的vhdl工程文件
三八译码器、verilog源程序
浏览:37
1、本程序模仿3/8译码器的功能 2、由SW1、SW2、SW3分别对应三位二进制。 SW3 SW2 SW1 : 对应二极管 0 0 0 : DD1 0 0 1 : DD2 0 1 0 : DD3 0 1 1 : DD4 1 0 0 : DD5 1 0 1 :
verilog2-4转3-8译码器
浏览:135
4星 · 用户满意度95%
verilog实现的2线-4线译码器转3线-8线译码器
2-4译码模块verilog语言
浏览:121
2-4译码模块verilog语言2-4译码模块verilog语言2-4译码模块verilog语言2-4译码模块verilog语言
计算机硬件描述语言(eda)实验报告,包括状态机,计数器,3-8译码器,lp-rom的应用等……
浏览:87
计算机硬件描述语言(eda)实验报告,包括状态机,计数器,3-8译码器,lp-rom的应用等代码
实验1:3-8译码器(拓展4-16进制译码器).docx
浏览:115
EDA技术及应用课程相关实验:3-8译码器及4-16译码器
BCD译码器verilog语言
浏览:54
BCD译码器使用verilog语言的代码实现 BCD译码器使用verilog语言的代码实现
3-8译码器verilog程序
浏览:71
介绍3-8译码器的xilinx ise编译过程及verilog代码
verilog 3_8译码器
浏览:148
38译码器,最简单的源代码,适合初学者,38译码器,最简单的源代码,适合初学者,
verilog 3:8译码器
浏览:154
嵌入式系统 关于3:8译码器用Verilog语言实现功能 利用quartus软件
verilog HDL描述38译码器
浏览:18
EDA技术,verilogHDL描述的38译码器,完全根据真值表编写,并成功验证
3-8译码器 verilog代码
浏览:154
verilog实现的3-8译码器,开发环境vivado2016,使用modelsim仿真测试
FPGA 3_8译码器 Verilog 编写视频
浏览:102
FPGA初学者视频,小梅哥系列3-8译码器,用Verilog HDL语言来编写
Verilog HDL BCD七段译码器
浏览:19
Verilog HDL 组合逻辑电路的设计——BCD七段译码器
用verilog语言在FPGA通讯工程中实现BCD译码
浏览:153
用verilog的for循环实现了0-99999之间数据的BCD译码,方便FPGA中AD采集和串口通讯间等数据传输,一个时钟大概就可以实现一次译码。已经在8路16位的AD采集的FPGA程序中测试运行,可以实现采集数据后,发送到上位机...
38译码器verilog代码
浏览:105
38译码器verilog代码
verilog语言编写的完整74ls138译码
浏览:75
关于verilog 刚刚学的可以看下 verilog语言编写的完整74ls138译码
verilog编写的三八译码器
浏览:161
4星 · 用户满意度95%
verilog编写的三八译码器,编程环境是xilinx ise10.1
verilog小工具,包括格式化,轻量级编译,testbench自动生成等
浏览:124
verilog小工具,包括格式化,轻量级编译,testbench自动生成等,均经过测试可用。
74hc154芯片 4-16译码器(pdf)
浏览:36
发现本网站没有关于74HC154的芯片资料,特上传,有需要的请下载。
4-16译码器+电机驱动
浏览:158
4-16译码器+电机驱动,用于电机驱动,以及译码器驱动,4-16译码器+电机驱动,用于电机驱动,以及译码器驱动,4-16译码器+电机驱动,用于电机驱动,以及译码器驱动,4-16译码器+电机驱动,用于电机驱动,以及译码器驱动,4-16译码器+电机驱动,用于电机驱动,以及译码器驱动,
菜鸟初入FPGA之Case语句验证4-16译码器
浏览:72
本文章是关于FPGA之Case语句验证4-16译码器。
用VerilogHDL语言编写的编码译码器程序
浏览:143
本文档是用VerilogHDL语言分别编写的编码器和译码器简单程序,给初学者一个参考
3-8编码器译码器实验实例Modelsim仿真工程文包括testbench+verilog源码.rar
浏览:82
3-8编码器译码器实验实例Modelsim仿真工程文包括testbench+verilog源码 module DECODE_3_8_tb; reg [2:0] CODE; wire [7:0] DATA; //Instance DUT. DECODE_3_8 I_DECODE_3_8 ( .CODE (CODE), .DATA (DATA...
74LS48数码管译码器器件说明
浏览:12
5星 · 资源好评率100%
共阴极数码管译码器引脚说明 全英文描写
基于cyclone2 (EP2C8Q)设计的3位输入8位输出译码器 Verilog源码 quartus 9.0工程文件.rar
浏览:16
5星 · 资源好评率100%
基于cyclone2 (EP2C8Q)设计的3位输入8位输出译码器 Verilog源码 quartus 9.0工程文件 module DECODE_3_8_tb; reg [2:0] CODE; wire [7:0] DATA; //Instance DUT. DECODE_3_8 I_DECODE_3_8 ( .CODE (CODE), ....
Verilog实现viterbi 译码
浏览:15
5星 · 资源好评率100%
本文提供Verilog的viterbi译码代码,里面包括top顶层模块和testbench测试模块,导入vivado中即可仿真使用。要求使用者熟悉Verilog语言以及viterbi译码原理。具体其他原理资料可以自己了解。
评论
收藏
内容反馈
立即下载
资源评论
资源反馈
评论星级较低,若资源使用遇到问题可联系上传者,3个工作日内问题未解决可申请退款~
联系上传者
评论
想忘江湖
2015-11-24
对初学者很有帮助。
mrtyuiopfgfa431
2017-03-13
译码器结构是对的,但是代码写错了
lanwu774778019
2015-04-30
不错不错,资源挺好。
苟金
粉丝: 0
资源:
2
私信
上传资源 快速赚钱
我的内容管理
展开
我的资源
快来上传第一个资源
我的收益
登录查看自己的收益
我的积分
登录查看自己的积分
我的C币
登录后查看C币余额
我的收藏
我的下载
下载帮助
前往需求广场,查看用户热搜
最新资源
java 贪吃蛇游戏.zip
Java Warcraft Ⅲ Replay Parser(Java解析《魔兽争霸3》游戏录像工具).zip
产品经理与产品原型(详解)
产品经理的6个关键职责
产品经理的自我修养(详解)
20240324笔记.pdf
自学文档,用于自己学习
asp代码ASP考试成绩分析系统的设计与实现(源代码+论文)
openssh-9.7p1-1.ky10.aarch64-no-ssh-copy-id.tgz
asp代码ASP具有动态口令认证机制的网上投票系统的设计(源代码+论文)
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功