频率计数器(数字电路,有电路图)


-
这个频率计数器也是很不错的一个,用数字电路做的,在multisim中实现了,好好学习用啊
-
2017-05-16
-
2015-06-03
-
2015-01-25
-
2013-02-27
91KB
单片机频率计数器
2012-05-28功能:能测试1~99Hz的低频信号、能把结果显示在数码管上;、误差小于1Hz。 我们写作业,分享给大家,绝不坑人,keil+仿真+Word文档=2分,值不值自己算算吧
62KB
用vhdl设计的数字频率计数器
2010-06-22可实现三位显示的频率计数器,简单实用,已综合通过
4KB
EDA十进制频率计数器
2011-11-22VHDL语言写的十进制频率计数器 ============================== library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt10 is port (rst,clk,ena:in std_logic; cout: out std_logic; outy :out std_logic_vector(3 downto 0)); end cnt10; architecture behv of cnt10 is begin process (rst,ena,clk) variable cqi :std_logic_vector(3 downto 0); begin if rst='1' then cqi :=(others =>'0'); elsif clk'event and clk='1' then if ena ='1' then if cqi < 9 then cqi:=cqi+1;cout<='0'; elsif cqi=9 then cqi :=(others =>'0'); cout<='1'; end if; elsif ena='0' then cqi:=(others =>'0'); end if; end if; outy <=cqi; end process; end behv;
246KB
51单片机编写的6位数显频率计数器.rar
2015-03-28本压缩包内含有基于51单片机设计的6位数显频率计数器,请多多指教
668B
王立群河南大学2009届本科生毕业典礼上的讲话
2009-08-27最近这段时间,校园里似乎更加忙碌起来,先是忙工作,忙论文,忙答辩,接着是拍合影照,吃散伙饭,食堂旁边、宿舍楼下、学校的贴吧里开始有人陆陆续续地处理物品,这一些似乎都在提醒人们——又是一年毕业时。 年复一年的毕业,就像话剧似的,有人要谢幕,有人要上场,总会有人要离别,只是这一年,逢到了你们的青春散场。
2.41MB
数字式频率计数器(包括电路图)
2013-03-24数字式频率计数器(包括Multisim 电路图)数字式频率计数器
702B
数字逻辑课程设计频率计数器(VHDL)
2009-09-11数字逻辑课程设计: 频率计数器 VHDL
267KB
6位数显频率计数器
2014-09-096位数显频率计数器,采用51电平和数码管显示的6位数字显示频率计,误差1%左右,适合要求不高的测试场合
107KB
EDA课程设计6_8频率计数器
2008-10-28可以实现6—8的频率计数器,用muxplus实现,
588KB
简易频率计数器,七分频的,四位显示,multisim10运行
2010-05-21简易频率计数器,七分频的,四位显示,multisim10运行 因为空间比较小,所以做的有点乱,但是运行起来还是不错的。可以显示,误差很小!
727KB
eda 8位10进制频率计数器
2011-11-05基于quartus II 的八位10进制计数器,共一个顶层文件和两个底层文件,有图形设计法和代码设计法,解压后直接打开工程文件即可。
15.64MB
四位十进制频率计数器
2010-03-27用数字电路设计的频率计,主要由计数器构成。。。。
316KB
单片机频率计数器课程设计
2011-05-31利用8031单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过8位动态数码管显示出来。要求能够对0-250KHZ的信号频率进行准确计数,计数误差不超过±1HZ。
69KB
6位数显频率计数器设计
2010-06-27利用AT89S51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过8位动态数码管显示出来。要求能够对0-250KHZ的信号频率进行准确计数,计数误差不超过±1HZ。
33KB
基于51单片机的简易频率计数器程序
2014-10-28实现简单频率数显表:设计一个能实现对脉冲频率测量显示的电路。 1.输入频率范围(0-10k),显示为xxx.xx.2.用两个指示灯指示显示数字的单位,Hz和KHz两档,根据输入频率自动切换显示档。3.脉冲信号是由外部信号发生器提供
86KB
简易频率计数器
2018-10-07单片机课设的简易频率计数器初学者能够恒好的理解和应用
884KB
交换机使用手册
2007-06-11一本较全的交换机电子书,网管员值得收藏。
214KB
EDA4位十进制频率计数器
2010-11-15EDA实验报告包括实验代码,仿真波形,电路图,引脚设置和下载等等
-
下载
碳排放量计算公式.pdf
碳排放量计算公式.pdf
-
下载
vue2.0+node+socket.io实现链接通讯
vue2.0+node+socket.io实现链接通讯
-
下载
第6章 MCS-51单片机内部资源及编程.ppt
第6章 MCS-51单片机内部资源及编程.ppt
-
下载
新颖的食品菜单宣传AE模板.mp4
新颖的食品菜单宣传AE模板.mp4
-
下载
jdk-8u162-linux-x64
jdk-8u162-linux-x64
-
下载
轻量级免费远程协助工具 TrustViewer 2.7.0 Build 4055.zip
轻量级免费远程协助工具 TrustViewer 2.7.0 Build 4055.zip
-
下载
FindImage.rar
FindImage.rar
-
下载
2021最新民政部国标城市地域编码
2021最新民政部国标城市地域编码
-
下载
物流园区布局规划与建设方案论文.pdf
物流园区布局规划与建设方案论文.pdf
-
下载
iNodeSetup7.3 (E0549).exe
iNodeSetup7.3 (E0549).exe
