没有合适的资源?快使用搜索试试~ 我知道了~
moduletop ( inputclk, inputrst_n, input[15:0]in1, inputstart, inputends, outputreg[15:0]out ); wire[3:0]waddra; wire [3:0]raddra; wire[15:0]dataa; wire[3:0]waddrb; wire[3:0]raddrb; wire ppang; wire[15:0]data_out0; wire[15:0]data_out1; wirewr0; wirewr1; wirerd0; wirerd1; regrd0_d; regrd1_d;
资源推荐
资源详情
资源评论
乒乓 RAM
作者:GHY 2014.9.11
1.乒乓 RAM
顶层结构
module top(
input clk,
input rst_n,
input [15:0] in1,
input start,
input ends,
output reg [15:0] out
);
wire [3:0] waddra;
wire [3:0] raddra;
wire [15:0] dataa;
wire [3:0] waddrb;
wire [3:0] raddrb;
wire ppang;
wire [15:0] data_out0;
wire [15:0] data_out1;
wire wr0;
wire wr1;
wire rd0;
wire rd1;
reg rd0_d;
reg rd1_d;
ctl U_CTL ( .clk(clk),
.waddra(waddra),
.raddra(raddra),
.waddrb(waddrb),
.raddrb(raddrb),
//.dataa(in1),
.ends(ends),
.ppang(ppang),
.start(start),
.rst_n(rst_n),
.wr0(wr0),
.rd0(rd0),
.wr1(wr1),
.rd1(rd1)
);
dpram U_DPRAM0 (
.clk(clk),
.we(wr0),
.re(rd0),
.waddr(waddra),
.raddr(raddra),
.data_in(in1),
.data_out(data_out0)
);
dpram U_DPRAM1 (
.clk(clk),
.we(wr1),
.re(rd1),
.waddr(waddrb),
.raddr(raddrb),
.data_in(in1),
.data_out(data_out1)
);
always @(posedge clk or negedge rst_n)
begin
if(rst_n==0)
begin
rd0_d<=0;
rd1_d<=0;
end
else
begin
rd0_d<=rd0;
rd1_d<=rd1;
end
end
always @(posedge clk or negedge rst_n)
begin
if(rst_n==0)
out<=0;
if(rd1_d==1)
out<=data_out1;
else if(rd0_d==1)
out<=data_out0;
end
endmodule
2.Ctl
module ctl(
input rst_n,
input clk,
input start,
output reg [3:0] waddra,
output reg [3:0] raddra,
output reg [3:0] waddrb,
output reg [3:0] raddrb,
input ends, //input ends
output reg ppang, //0:wr ram0 1:wr ram1
output reg wr0,
output reg rd0,
output reg wr1,
output reg rd1
);
reg ends_inst;//instruction of which ram get ends,0:ram0;1:ram1
reg emptya;
reg fulla;
reg emptyb;
reg fullb;
reg [3:0] lst_num; //when ends come,record the number of valid data
reg end_flag;
reg ends_ff1;
reg ends_pos;
reg start_d;
wire start_neg;
always @(posedge clk or negedge rst_n)
begin
if(rst_n==0)
剩余13页未读,继续阅读
资源评论
weixin_39840914
- 粉丝: 435
- 资源: 1万+
上传资源 快速赚钱
- 我的内容管理 展开
- 我的资源 快来上传第一个资源
- 我的收益 登录查看自己的收益
- 我的积分 登录查看自己的积分
- 我的C币 登录后查看C币余额
- 我的收藏
- 我的下载
- 下载帮助
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功