在ISE环境下基于VHDL的姓名学号在液晶模块上滚动显示

star 5星 · 超过95%的资源 需积分: 31 29 下载量 152 浏览量 2011-12-08 17:54:34 上传 评论 4 收藏 21KB TXT 举报
preview
nxzwgl
  • 粉丝: 1
  • 资源: 5
上传资源 快速赚钱
voice
center-task 前往需求广场,查看用户热搜