触发器应用实验报告
一.实验前期准备
实验目的及内容:
1、掌握 RS、JK、D、触发器的外部功能,组成及工作原理
2、掌握基本触发器功能的测试方法;
3、掌握触发器的应用;
仔细阅读了实验文档,和相关资料。动手做实验。
二.器件的 VHDL 描述和图形描述
D 触发器:
VHDL 描述:
library ieee;
use ieee.std_logic_1164.all;
entity mydff is
port(
clk,d:in std_logic;
q:out std_logic
);
end mydff;
architecture bhv of mydff is
begin
process(clk)
begin
if(clk'event and clk='1') then
q<=d;
end if;
end process;
end bhv;
RS 触发器: