数字基带信号
一、 数字基带信号的常用码型
(1)NRZ 码
这种传输码的零电平与正电平(或负电平)分别对应于二进制代码中的“ 0”码
与“ 1”码。
(2)AMI 码
编码规则是:二进制代码中的“1”码由正、负极性交替的脉冲表示,其脉宽等于
码元周期的一半;二进制代码中的“0’码由零电平表示。
(3)HDB
3
编码规则:
1 检查消息码中 0 的个数,若连 0 的个数小于 4,此时的 AMI 码就是 HDB3 码;
2 若 AMI 码中连 0 的个数大于 4,则将每 4 个连 0 化作一小节,定义为 B00V;
3 V 与前一个相邻的非零脉冲的极性相同,并且要求相邻的 V 码之间极性必须
交替,V 的取值为+1 或-1;
4 B 的取值可选 0、+1、-1,以使 V 同时满足(3)中的要求;
5 V 码后面的串号码也要交替。
AMI 码的全称是传号交替反转码。此方式是单极性方式的变形,即把单极性方式中的“0”
码仍与零电平对应,而“1”码对应发送极性交替的正、负电平。这种码型实际上把二进制脉
冲序列变为三电平的符号序列,它是 CCITT 建议作为基带传输系统中的传输码型之一
二、 数字基带信号传输系统方框图
三、VHDL 程序设计
程序如下:library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity jn is
port(clk:in std_logic;
start:in std_logic;
dat:in std_logic_vector(15 downto 0);
fs: out std_logic;
NRZ:out std_logic;
AMI:out std_logic_vector(1 downto 0);
HDB3:out std_logic_vector(1 downto 0));
end entity;
architecture behav of jn is
begin
process(clk,start)
信 道 信 号
形成器
信
道
接 收 滤
波器
抽 样 判
决器
同 步
提取
基 带 脉
冲输入
基 带 脉
冲输出
评论1
最新资源