没有合适的资源?快使用搜索试试~
我知道了~
文库首页
课程资源
嵌入式
基于CT74LS161的数字钟及仿真
基于CT74LS161的数字钟及仿真
CT74LS161
需积分: 10
23 下载量
27 浏览量
2010-04-24
22:46:16
上传
评论
3
收藏
688KB
DOC
举报
温馨提示
立即下载
本报告用中规模集成电路实现了一个数字钟的计数、译码及显示电路,时计数器采用二十四进制,有校时装置。
资源推荐
资源评论
基于74LS161的数字钟仿真
浏览:143
5星 · 资源好评率100%
基于74LS161的数字钟电路,可调时分秒, 如果要更精确的计时,请使用分频电路
数字钟的仿真实验
浏览:58
数字电路实验的仿真原理图,该仿真包含了如何用数字芯片实现计数、校时、以及信号发生的功能,并且,在我的博客中对该数字钟进行了详细的讲解,介绍。
纯数字电路数字钟proteus仿真设计(74LS90+555+74LS161+74LS48).zip
浏览:71
5星 · 资源好评率100%
纯数字电路数字钟proteus仿真设计(74LS90+555+74LS161+74LS48)
74LS161+ZN426+555仿真电路Proteus仿真电路.rar
浏览:167
74LS161+ZN426+555仿真电路Proteus仿真电路.rar
74ls161计数器的multisim仿真
浏览:92
5星 · 资源好评率100%
74ls161计数器的multisim仿真。帮同学做的,顺便拿出来共享,希望对大家有帮助。
proteus8.6:两片74LS161和门电路设计一个六十进制计数器
浏览:131
使用两片74LS161和门电路设计一个六十进制计数器。 (1) 画出连线图,输出用七段数码管7SEG-BCD显示出来。 (2)74LS161的CP脉冲由信号源中的DCLOCK提供,要求七段数码管的显示将从00→01→02→03→04→05→06→07→08→09→10→11→12→┄→57→58→59按十进制数循环变化。 使用两片74LS161和门电路设计一个六十进制计数器。 (1) 画出连线图,
数电实验:数字电子钟设计74HC161
浏览:79
4星 · 用户满意度95%
用四片74161构成两个六十进制计数器,再用两片构成二十四进制计数器,就可以组合成一个电子钟。本资源 是PPT,附有详细说明。
proteus中对74ls160的演示
浏览:186
proteus中对74ls160的演示,用示波器和共阳数码管,74ls47译码器
基于51的数字电子钟仿真(内含程序)
浏览:112
5星 · 资源好评率100%
基于51的数字电子钟仿真软件,内含有全套程序,接线方法在仿真电路图中有详细说明
74ls161芯片的使用方法
浏览:12
这是我自己做的一篇资料吧!!自己用得感觉还行,大家可以参考一下子!!
基于中小规模集成电路数字钟仿真图
浏览:97
5星 · 资源好评率100%
基于中小规模集成电路数字钟仿真图基于中小规模集成电路数字钟仿真图基于中小规模集成电路数字钟仿真图基于中小规模集成电路数字钟仿真图基于中小规模集成电路数字钟仿真图基于中小规模集成电路数字钟仿真图基于中小规模集成电路数字钟仿真图
基于单片机的数字钟的设计与仿真
浏览:103
5星 · 资源好评率100%
现今,高精度的计时工具大多数都使用了石英晶体振荡器,由于电子钟,石英表,石英钟都采用了石英技术,因此走时精度高,稳定性好,使用方便,不需要经常调校,数字式电子钟用集成电路计时时,译码代替机械式传动,用LED显示器代替显示器代替指针显示进而显示时间,减小了计时误差,这种表具有时,分,秒显示时间的功能,还可以进行时和分的校对,片选的灵活性好。 本设计利用单片机实现数字时钟计时功能的主要内容,其中
基于单片机的电子数字钟仿真.docx
浏览:177
基于单片机的电子数字钟仿真.docx
基于Multisim_8的数字钟的设计与仿真
浏览:64
应用Multisim8进行数字钟设计与仿真
基于multisim 数电仿真-数字钟
浏览:61
一、 简要说明: 利用数字电路的理论和知识进行设计,一般应具有时分秒计时功能,同时 可以进行时间的调整;定点报时等。 二、 设计任务和基本要求: 设计数字式电子钟,基本要求如下: 1、 设计一个时分秒计数器,并具有译码显示。其中时为 24 进制,分秒为 60 进制。 2、 设计一个电路实现时、分、秒校准功能。 3、 设计一个电路实现整点报时功能,要求报时声响四低一高,高低音用不 同频率的脉冲信
数字钟-74LS160-数字电子钟Multisim仿真电路图
浏览:52
5星 · 资源好评率100%
数字钟-74LS160-数字电子钟Multisim仿真电路图
十进制计数与显示电路的设计与仿真
浏览:91
十进制计数器、数码管扫描显示电路 主要程序如下: LED显示程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity tled is port(din: in std_logic_vector(7 downto 0); sn: out std_logic_ve
基于multisim14的多功能数字时钟仿真文件
浏览:94
5星 · 资源好评率100%
基于multisim14的多功能数字时钟 用74161定时器与555振荡器实现 1、周期:24小时 2、显示时、分、秒 3、可校时、校分 4、实现整点报时 5、一键整体清零
74ls161引脚图与管脚功能表资料
浏览:165
74ls161引脚图与管脚功能表资料
Proteus仿真 数字钟
浏览:139
4星 · 用户满意度95%
网上有很多数字钟的仿真例子,但有些调整时间时会出错,针对这个问题,将程序进行了修改,此例可正确调整。
常用数字集成电路引脚图.74ls161引脚图 74ls00 74ls03 555等
浏览:57
5星 · 资源好评率100%
这是我从实验室找过来的。学习数电,做数电课程设计肯定有用
实验7-74ls160组成n进制计数器
浏览:134
3星 · 编辑精心推荐
74ls160组成n进制计数器,硬件设计,使用74ls160组成一个n进制的计数器实验
proteus中设计的数字时钟电路原理图
浏览:79
5星 · 资源好评率100%
在proteus中用74160、7449、七段数码管设计的同步时钟电路,显示从00:00:00到23:59:59,然后跳转,重新计时
基于Multisim的数字钟实验电路的设计与仿真
浏览:170
5星 · 资源好评率100%
在电子技术实验教学中,构建学生的电路设计理念,提高学生的电路设计能力,是教学的根本目的和内容。数字钟电路的设计和仿真,涉及模拟电子技术、数字电子技术等多方面知识,能够体现实验者的理论功底和设计水平,是电子设计和仿真教学的典型。文中采用了555 定时器电路、计数电路、译码电路、显示电路和时钟校正电路,来实现该电路。 1 系统设计方案 数字钟由振荡器、分频器、计时电路、译码显示电路等组成[
基于multisim的多功能数字钟仿真的毕业设计论文和仿真
浏览:45
5星 · 资源好评率100%
基于multisim的多功能数字钟仿真的毕业设计论文和仿真 总体原理说明: 整体电路共分为五大模块: 脉冲产生模块、 计时模块、译码显示模块、 整点报时模块、 校时模块。 主要由555定时器器、秒计数器、分计数器、时计数器、 BCD-七段显示译码 / 驱动器、 LED七段显示数码管、时间校准电路构成以及各种门电路。 数字钟数字译码显示部分,采用共阴译码器与共阴极数码管串联电路,将译码器、 七段数
基于单片机的音乐数字钟的设计与仿真毕业设计_说明.doc
浏览:109
基于单片机的音乐数字钟的设计与仿真毕业设计_说明.doc
基于Multisim10电子数字钟的设计与仿真
浏览:12
5星 · 资源好评率100%
数字钟能经振荡器、计数器、译码和显示电路准确地将时间“时”“分”“秒”用数字的方式显示出来,并且需要校正电路使其准确工作,还可以有定时和报时功能。研究数字钟及扩大其应用,有着非常现实的意义。本文在Multisim基础上设计的数字钟,是由数字集成电路构成、用数码管显示。(原电路图是从此网下载的 需要的话可从此网下载)
数字电子 简易电子钟
浏览:157
完整电子钟代码 亲测可运行 数字电子技术课后实训
verilog-format的配置文件
浏览:34
5星 · 资源好评率100%
verilog-format的配置文件
完整版 ISO 26262 最新版(2018)1-12部分.rar
浏览:175
5星 · 资源好评率100%
亲测好用,挺不错的资源,大家快来下载吧!挺有用的!需要的话可以来下载哦!ISO 26262 第二版,2018版(1-12部分),最新版本,英文版本
评论
收藏
内容反馈
立即下载
资源评论
资源反馈
评论星级较低,若资源使用遇到问题可联系上传者,3个工作日内问题未解决可申请退款~
联系上传者
评论
duozaihunhou
粉丝: 0
资源:
2
私信
上传资源 快速赚钱
我的内容管理
展开
我的资源
快来上传第一个资源
我的收益
登录查看自己的收益
我的积分
登录查看自己的积分
我的C币
登录后查看C币余额
我的收藏
我的下载
下载帮助
前往需求广场,查看用户热搜
最新资源
Unity Log调试工具 SRDebugger - Console Tools On-Device
Aitken加速牛顿法收敛的案例
canal-canal-1.1.7.tar.gz
elasticsearch-analysis-ik-7.17.18.zip
Screenshot_2024_0425_175244.png
增值税计算表(万能自动计算)
网上下载PPT.zip
房价预测模型数据集hourse.csv
4.25三角120十大啊啊啊啊啊啊啊啊
Docker-desktop 4.24.1.0 解决报错19044
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功