library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity corna is
port(clr,sig,door:in std_logic;
alm:out std_logic;
q3,q2,q1,q0,dang:out std_logic_vector(3 downto 0));
end corna;
architecture corn_arc of corna is
begin
process(door,sig)
variable c0,c1,c2,c3,c4,c5,c6,c7,c8:std_logic_vector(3 downto 0);
variable x:std_logic;
begin
if sig'event and sig='1'then
if door='1'then
if c0<"1001"then
c0:=c0+1;
else
c0:="0000";
if c1<"1001"then
c1:=c1+1;
else
c1:="0000";
if c2<"1001"then
c2:=c2+1;
else
c2:="0000";
if c3<"1001"then
c3:=c3+1;
else
c3:="0000";
if c4<"1001"then
c4:=c4+1;
else
c4:="0000";
if c5<"1001"then
c5:=c5+1;
else
c5:="0000";
if c6<"1001"then
c6:=c6+1;
else
c6:="0000";
if c7<"1001"then
c7:=c7+1;
else
c7:="0000";
if c8<"1001"then
c8:=c8+1;
else
c8:="0000";
alm<='1';
end if;
end if;
end if;
end if;
end if;
end if;
end if;
end if;
end if;
else
if clr='0'then
alm<='0';
end if;
c8:="0000";--mh
c7:="0000";--mh
c6:="0000";--mh
c5:="0000";--kh
c4:="0000";--kh
c3:="0000";--kh
c2:="0000";--h
c1:="0000";--h
c0:="0000";--h
end if;
if c8/="0000"then
q3<="0000";
q2<=c8;
q1<=c7;
q0<=c6;
dang<="0011";
elsif c7/="0000"then
q3<="0000";
q2<=c8;
q1<=c7;
q0<=c6;
dang<="0011";
elsif c6/="0000"then
q3<=c6;
q2<=c5;
q1<=c4;
q0<=c3;
dang<="0011";
elsif c5/="0000"then
q3<="0000";
q2<=c5;
q1<=c4;
q0<=c3;
dang<="0010";
elsif c4/="0000"then
q3<=c4;
q2<=c3;
q1<=c2;
q0<=c1;
dang<="0010";
elsif c3/="0000"then
q3<=c3;
q2<=c2;
q1<=c1;
q0<=c0;
dang<="0010";
else
q3<="0000";
q2<=c2;
q1<=c1;
q0<=c0;
dang<="0001";
end if;
end if;
end process;
end corn_arc;
没有合适的资源?快使用搜索试试~ 我知道了~
简易数字频率计vhdl(自动档)
共87个文件
hdb:17个
cdb:15个
rpt:6个
5星 · 超过95%的资源 需积分: 50 42 下载量 119 浏览量
2010-05-03
02:45:25
上传
评论 10
收藏 335KB RAR 举报
温馨提示
用quartus2编译和仿真的,就三个模块,vhdl的程序,很简单,有三个档:1档为Hz级的,2档为KHz级的,3档为MHz级的。fen模块要注意,使用的3MHz的分频频率是可以改变的,不固定。绝对让你满意
资源推荐
资源详情
资源评论
收起资源包目录
简易数字频率计(自动换挡).rar (87个子文件)
counter
counter.bdf 13KB
counter.qpf 2KB
fen.vhd 518B
counter.map.eqn 37KB
counter.cdf 296B
lock.vhd 548B
counter.flow.rpt 4KB
counter.tan.summary 2KB
cmp_state.ini 3B
db
counter.cmp.cdb 40KB
counter.(8).cnf.hdb 619B
counter.(3).cnf.hdb 807B
counter.(0).cnf.cdb 1KB
counter.sim.rdb 2KB
cntr_2c7.tdf 4KB
counter.(5).cnf.hdb 793B
counter.(5).cnf.cdb 1KB
counter.cmp.rdb 14KB
counter.rtlv_sg_swap.cdb 907B
counter.sim.hdb 4KB
counter.tan.qmsg 45KB
counter.map.hdb 15KB
counter.(6).cnf.cdb 1KB
counter.(4).cnf.cdb 1KB
counter.cmp.tdb 33KB
counter.asm.qmsg 1KB
counter.db_info 133B
counter.syn_hier_info 0B
counter.(4).cnf.hdb 617B
counter.hier_info 6KB
cntr_md7.tdf 9KB
counter.(1).cnf.hdb 934B
counter_sim.qrpt 0B
counter.cmp.hdb 15KB
counter.(3).cnf.cdb 1KB
counter.sim.vwf 12KB
counter.(9).cnf.hdb 879B
counter.icc 24KB
counter.map.qmsg 7KB
counter_cmp.qrpt 0B
counter.sim.qmsg 1KB
counter.(0).cnf.hdb 695B
counter.dat_manager.dat 10KB
counter.sld_design_entry_dsc.sci 134B
counter.sgdiff.cdb 12KB
counter.sgdiff.hdb 22KB
counter.rtlv_sg.cdb 10KB
counter.fit.qmsg 3KB
counter.pre_map.hdb 14KB
counter.(7).cnf.hdb 887B
counter.(8).cnf.cdb 1KB
counter.(6).cnf.hdb 619B
counter.(2).cnf.cdb 2KB
counter.cmp.ddb 1KB
counter.project.hdb 9KB
counter.sld_design_entry.sci 134B
counter.(2).cnf.hdb 468B
counter.(7).cnf.cdb 3KB
counter.(1).cnf.cdb 12KB
counter.(9).cnf.cdb 2KB
counter.rtlv.hdb 14KB
counter.hif 11KB
counter.map.cdb 12KB
lock.bsf 4KB
counter.qsf 4KB
counter.sim.rpt 4KB
counter.map.summary 327B
counter.fit.summary 388B
counter.pin 19KB
sim.cfg 7B
counter.done 26B
counter.fit.rpt 40KB
counter.tan.rpt 154KB
corna.bsf 3KB
counter.sof 57KB
counter.map.rpt 17KB
counter.qws 677B
counter.pof 207KB
counter.asm.rpt 8KB
corna.vhd 3KB
counter.vwf 12KB
counter.fit.eqn 41KB
fen.bsf 2KB
lock.txt 548B
简介.txt 162B
corna.txt 3KB
fen.txt 518B
共 87 条
- 1
资源评论
- SeaNico2023-07-29这个文件的应用范围广泛,可以在各种数字电路设计中使用。
- 赵小杏儿2023-07-29这个文件提供了一个简单而实用的数字频率计,非常方便实施数字频率的计算。
- 独角兽邹教授2023-07-29使用这个文件可以快速准确地计算数字信号的频率,有助于提高数字电路的性能和稳定性。
- ai2023-07-29文件中的代码经过优化和测试,运行稳定,可以放心使用。
- 华亿2023-07-29这个文件给出的VHDL实现方法简单明了,容易理解和修改,非常适合初学者。
hai314615910
- 粉丝: 5
- 资源: 14
上传资源 快速赚钱
- 我的内容管理 展开
- 我的资源 快来上传第一个资源
- 我的收益 登录查看自己的收益
- 我的积分 登录查看自己的积分
- 我的C币 登录后查看C币余额
- 我的收藏
- 我的下载
- 下载帮助
最新资源
- mosquitto-2.018-install-windows-x64
- FTPServer FTP 服务器,绿色免安装,单文件
- 梦畅语音点名软件,上课点名
- 利用ADNI数据集和标签,在tensorflow框架上使用tensorlayer接口,通过架构u-net实现海马体的分割
- Kutools for Word v9.0 office word 插件
- 修复Windows 10 LTSC 2021资源占用率高
- Hash工具,小巧绿色hash校验工具,免费hash工具
- 重启进行BIOS快捷方式,不需要开机按BIOS键
- 鸭子开车记(儿童绘本)
- 威纶通触摸屏编程软件Easy builder pro V6.09.01.556安装包(2024.04).txt
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功