--文件名:decoder.vhd
--功能:译码输出模块,LED为共阳接法
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity decoder is
Port (seg:in std_logic_vector(3 downto 0 ); --四位二进制码输入
q3:out std_logic_vector(6 downto 0) ); --输出LED七段码
end decoder;
architecture Behavioral of decoder is
begin
process(seg)
begin
本内容试读结束,登录后可阅读更多
下载后可阅读完整内容,剩余2页未读,立即下载